Cmos Technology Min Jun Kwon Online Ebook Texxtbook Full Chapter PDF

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 69

CMOS Technology Min Jun Kwon

Visit to download the full and correct content document:


https://ebookmeta.com/product/cmos-technology-min-jun-kwon/
More products digital (pdf, epub, mobi) instant
download maybe you interests ...

Smart CMOS Image Sensors and Applications 2nd Edition


Jun Ohta

https://ebookmeta.com/product/smart-cmos-image-sensors-and-
applications-2nd-edition-jun-ohta/

CMOS Analog IC Design Fundamentals 3rd Edition Erik


Bruun

https://ebookmeta.com/product/cmos-analog-ic-design-
fundamentals-3rd-edition-erik-bruun/

Springer Handbook of Marine Biotechnology 1st Edition


Se Kwon Kim Editor

https://ebookmeta.com/product/springer-handbook-of-marine-
biotechnology-1st-edition-se-kwon-kim-editor/

CMOS Integrated Circuit Simulation with LTspice 3rd


Edition Erik Bruun

https://ebookmeta.com/product/cmos-integrated-circuit-simulation-
with-ltspice-3rd-edition-erik-bruun/
Design Centered Entrepreneurship 2nd Edition Min
(Mcmaster University Basadur

https://ebookmeta.com/product/design-centered-
entrepreneurship-2nd-edition-min-mcmaster-university-basadur/

Korean Routledge Library Editions Korean Studies Ho-


Min Sohn

https://ebookmeta.com/product/korean-routledge-library-editions-
korean-studies-ho-min-sohn/

CMOS Analog IC Design for 5G and Beyond 1st Edition


Sangeeta Singh (Editor)

https://ebookmeta.com/product/cmos-analog-ic-design-for-5g-and-
beyond-1st-edition-sangeeta-singh-editor/

1000 Key Korean Idioms and Proverbs 1st Edition Min


Jin-Young

https://ebookmeta.com/product/1000-key-korean-idioms-and-
proverbs-1st-edition-min-jin-young/

Digital Health Convergence Meeting Tool Kit 1st Edition


Win Min Thit

https://ebookmeta.com/product/digital-health-convergence-meeting-
tool-kit-1st-edition-win-min-thit/
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
ELECTRICAL ENGINEERING DEVELOPMENTS

CMOS TECHNOLOGY
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

No part of this digital document may be reproduced, stored in a retrieval system or transmitted in any form or
by any means. The publisher has taken reasonable care in the preparation of this digital document, but makes no
expressed or implied warranty of any kind and assumes no responsibility for any errors or omissions. No
liability is assumed for incidental or consequential damages in connection with or arising out of information
contained herein. This digital document is sold with the clear understanding that the publisher is not engaged in
rendering legal, medical or any other professional services.
CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
ELECTRICAL ENGINEERING DEVELOPMENTS

Additional books in this series can be found on Nova‟s website


under the Series tab.

Additional E-books in this series can be found on Nova‟s website


under the E-book tab.
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
ELECTRICAL ENGINEERING DEVELOPMENTS

CMOS TECHNOLOGY

MIN-JUN KWON
EDITOR
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

Nova Science Publishers, Inc.


New York

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
Copyright © 2011 by Nova Science Publishers, Inc.

All rights reserved. No part of this book may be reproduced, stored in a retrieval system or
transmitted in any form or by any means: electronic, electrostatic, magnetic, tape, mechanical
photocopying, recording or otherwise without the written permission of the Publisher.

For permission to use material from this book please contact us:
Telephone 631-231-7269; Fax 631-231-8175
Web Site: http://www.novapublishers.com

NOTICE TO THE READER


The Publisher has taken reasonable care in the preparation of this book, but makes no expressed or
implied warranty of any kind and assumes no responsibility for any errors or omissions. No
liability is assumed for incidental or consequential damages in connection with or arising out of
information contained in this book. The Publisher shall not be liable for any special,
consequential, or exemplary damages resulting, in whole or in part, from the readers‟ use of, or
reliance upon, this material. Any parts of this book based on government reports are so indicated
and copyright is claimed for those parts to the extent applicable to compilations of such works.

Independent verification should be sought for any data, advice or recommendations contained in
this book. In addition, no responsibility is assumed by the publisher for any injury and/or damage
to persons or property arising from any methods, products, instructions, ideas or otherwise
contained in this publication.

This publication is designed to provide accurate and authoritative information with regard to the
subject matter covered herein. It is sold with the clear understanding that the Publisher is not
engaged in rendering legal or any other professional services. If legal or any other expert
assistance is required, the services of a competent person should be sought. FROM A
DECLARATION OF PARTICIPANTS JOINTLY ADOPTED BY A COMMITTEE OF THE
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

AMERICAN BAR ASSOCIATION AND A COMMITTEE OF PUBLISHERS.

Additional color graphics may be available in the e-book version of this book.

LIBRARY OF CONGRESS CATALOGING-IN-PUBLICATION DATA

CMOS technology / editor, Min-jun Kwon.


p. cm.
Includes bibliographical references and index.
ISBN: (eBook)
1. Metal oxide semiconductors, Complementary. I. Kwon, Min-jun.
TK7871.99.M44C58 2010
621.39'5--dc22
2010029837

Published by Nova Science Publishers, Inc. † New York

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
CONTENTS

Preface vii
Chapter 1 Principles, Integration and Challenges of Lithography Technology
for Deep Nano-Scale CMOS Patterning 1
Yijian Chen and Yashesh Shroff
Chapter 2 Variability and Reliability in Ultra-Scaled MOS Devices:
Evaluation at the Nanoscale and Impact on Device and Circuit
Functionality 81
M. Nafría, R. Rodríguez, M. Porti, J. Martín-Martínez, M. Lanza
and X. Aymerich
Chapter 3 Linear and Non-Linear Applications of CMOS DVCC 105
Sudhanshu Maheshwari, Mohd. Samar Ansari
and Syed Atiqur Rahman
Chapter 4 Compact Modeling of Multi-Gate MOSFET Including Hot-Carrier
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

Effects 135
T. Bentrcia and F. Djeffal
Chapter 5 MOSFET Modeling: Reliability and Validation for Analog/RF IC
Design 159
Thomas Noulis
Chapter 6 Catalog of Versatile Quadrature Oscillators Using Grounded
Components 175
Sudhanshu Maheshwari and Bhartendu Chaturvedi
Chapter 7 Feedthrough: An Energy Efficient CMOS Logic Family for
Arithmetic Circuits 187
Victor Navarro-Botello, Juan A. Montiel-Nelson
and Saeid Nooshabadi
Chapter 8 Discussion on 1/F Noise in CMOS Transistors: Modelling-
Simulation and Measurement Techniques 221
T. Noulisa, S. Siskosa, L. Baryb and G. Sarrabayrouseb
Index 245

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
PREFACE

Chapter 1 - The science and engineering of lithography has been the major driving force
for continuous CMOS scaling from micro- down to nano-scale, well known in the
semiconductor industry as Moore's Law which predicts that the density of transistor on a chip
roughly doubles every 18-24 months. This scaling trend has continued for more than five
decades and reshaped our information technology and global society in the 20th and 21st
centuries. It is not expected to stop until it hits the economic or physical limit when further
device shrinking will not create enough financial incentives or simply violates the
fundamental physical principles. As the size of transistors decreases, more components can be
crammed onto integrated circuits to enable larger memory capacity and faster computing
speed at lower costs. The scaling pace of different semiconductor sectors varies significantly,
depending on the design and integration complexity of devices. For example, flash memory
NAND devices enjoy their 1-D array characteristics and can be fabricated with more
aggressive shrinking processes (e.g., self-aligned spacer double/multiple patterning); while
scaling of DRAM and logic devices lags behind due to the difficulty of fabricating more
complicated device structures. The evolution of CMOS design and process will be shown as a
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

reflection of lithographic difficulty in the semiconductor manufacturing. Several process


techniques to overcome the optical resolution limit such as double/multiple patterning will
also be introduced. The technical and cost issues of these sub-resolution spatial frequency
multiplication techniques will be addressed. This chapter will be organized into a self-
contained manner to not only give a historical review of CMOS lithography, but also provide
a technical guidance for industrial/academic readers to understand the fundamental principles,
critical challenges and future directions of lithography technology. The topics to be covered
include conventional technologies such as optical and extreme ultraviolet (EUV) lithography,
and emerging technologies such as maskless EUV lithography.
Chapter 2 - In this work, the approaches adopted at (Universitat Autònoma de Barcelona)
UAB to evaluate the nanoscale sources of device variability related to the MOSFETs gate
oxide and to analyse the impact of device variability and aging on circuit performance and
reliability are described. First, a Conductive Atomic Force Microscope is used to evaluate the
effects of processing on the morphological and electrical characteristics of gate dielectrics at
the nanoscale. As example, the dependence of the electrical properties of Al2O3/SiO2 stacks
on a thermal annealing at different temperatures is analyzed. Second, a reliability circuit
simulation methodology to transfer the variability and aging effects in devices up to circuit
level, which combines Montecarlo and SPICE simulations, is presented. The methodology is

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
viii Min-jun Kwon

applied to evaluate the impact of threshold voltage time-dependent variability in differential


amplifiers performance and reliability.
Chapter 3 - The differential voltage current conveyor (DVCC) has recently emerged as a
versatile building block for the realization of a variety of analog signal processing functions
including, but not limited to, analog filters, amplifiers, integrators, etc. Most of these
applications can be classified as linear although non-linear applications like the voltage-mode
comparator, function generators and oscillators are also reported in the technical literature.
This chapter presents several new and traditional applications of DVCC including both
linear and non-linear ones. Amongst the linear applications, voltage-mode and current-mode
analog filters, amplifiers and integrators are reviewed. Similarly, amongst the available non-
linear ones, oscillators and negative resistance converter are reviewed whereas DVCC-based
digital logic gates, linear equation solver and circuits for solving linear & quadratic
programming problems are proposed. Real device simulations on some of the newly
presented circuits are also given. The material presented is intended to explore full potential
of DVCC covering a broad range of practical circuit applications.
Chapter 4 - A global view of today‟s world permits to notify that many hardware and
software based systems, are characterized by complex behavior and often have special
features and structures requiring high complexity approaches for modeling [1, 2]. In such
situation, this may result in many unwanted phenomena disturbing the correct function
particularly when taking into account the VLSI constraints. In order to avoid the heavy costs
associated with maintenance and diagnostic operations [3], a deep understanding of the
degradation process should be established so that the reliability of such systems can be
enhanced significantly. Despite that the recent progress in different fields has made resulted
products more powerful than ever, the increasing level of complexity implied that reliability
problems not only will continue to exist, but also are likely to require ever more innovative
solutions [4].
The demand for high-performance devices has been experiencing a steady rise in the
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

sophistication degree of semiconductor manufacturing techniques, which in turn have driven


the associated Metal Oxide Semiconductor Field Effect Transistor (MOSFET) size close to
their physical limits. Theoretical analyses make it clear that we should be able to rearrange
atoms and molecules one by one to get more efficient tools at a tiny scale [5]. Therefore, the
initial stage consists in the seek of new design approaches for devices miniaturization scheme,
the adopted approach based on keeping the internal electric fields constant had to be
abandoned due to several practical reasons including [6]:
Loss of compatibility with previous generation circuits,
Decrease in noise margins because of the non-scaling of the threshold voltage and the
subthreshold slope,
Decrease in operating speeds in sub-micron devices due to the non-scaling of parasitic
capacitances.
As a result, the design of alternate scaling schemes becomes an inevitable choice to
remedy the previous cited disadvantages. In these schemes, despite the obvious correlation
between the consequent increase in internal electric fields in aggressively scaled MOSFETs
and the benefit of increased carrier velocities leading to a considerable amelioration in
switching speed, it also presents a major reliability concern for the long term operation. As
device feature size moves into deep submicron region, the benefits of higher electric fields
saturate while the corresponding reliability problems get worse [7]. Such tradeoff between

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
Preface ix

both criteria has initiated a tremendous race towards developing strategies providing
guidelines for devices downscaling including degradation effect, which is reflected by a shift
in the main measured parameters describing the device operating under different regimes
circumstances.
The authors will start this chapter by reviewing the various types of defects existing in
CMOS-based devices. The authors will then present a surface-potential-based approach for
the analytical modeling of main device parameters in subthreshold regime and later they will
develop accurate models to handle the device operation in saturation regime. The obtained
results provide comprehensive description of multigate MOSFETs behavior including hot-
carriers effects, and offer new insights for future CMOS-based circuits design.
Chapter 5 - In the majority of high performance analog signal processors, power
efficiency, speed, noise and dynamic range should be traded against one another to find an
optimum device bias condition for a given application. Due to these constraints IC designers
are forced to operate MOSFETs in moderate inversion, where the model accuracy is
questionable. Considering that the model will always limit the simulation accuracy, it is of
great importance the designer to be aware of the capabilities – limitations of the applied
CMOS model. Concerning the modeling of a MOS device, the model should be simple
enough that the results produced in a simulation to be reliable. The model should also be
simple in order the simulation time to be minimal and the process for parameter extraction
can be easily performed. A balance between the model simplicity and accuracy needs to be
attained. Regarding Analog/RF IC design, inconsistencies have been observed mainly in
relation to high frequency behavior, linearity-distortion estimation and noise performance. In
this work, MOSFET model reliability issues are addressed in relation to the used MOSFET
model, the operating mode, the frequency band of interest (baseband or wideband, RF) and
the related applications.
Chapter 6 - From their introduction in 1968 by Smith and Sedra and subsequent
reformulation in 1970 by them, current conveyors have proved to be functionally flexible and
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

versatile, rapidly gaining acceptance as both a theoretical and practical analog building block.
Differential Voltage Current Conveyors are undoubtedly the most widely accepted
operational devices in continuous time, current mode and voltage mode analog signal
processing. This chapter is based on the CMOS implementation and application of
Differential Voltage Current Conveyor (DVCC) and its‟ modified version, a relatively new
active element suited for differential signal processing. In this chapter, a new circuit topology
for realizing several second-order versatile (current mode as well as voltage mode) quadrature
oscillator (VQO) is proposed. Each circuit employs three differential voltage current
conveyors and all grounded passive components, ideal for IC implementation. The circuits
exhibit non-interactive frequency control and low THD. Effects of non-idealities are also
analyzed. PSPICE simulations using 0.5μ CMOS parameters confirm the validity and
practical utility of the proposed circuits.
Chapter 7 - In this chapter the authors present a comprehensive and up-to-date studyt on
the feedthrough logic (FTL) concpt for designing high performance arithmetic circuits in
CMOS technology.
The FTL logic family, for high speed and lower power CMOS applications, was
instroduced by the authors in the recent past. FTL works successfully on the domino concept
with the added feature that gates commence evaluation even before their input signals are
vallid. This is accomplished by means of initial quasi evaluation time in the computational

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
x Min-jun Kwon

blocks for the final evaluation when inputs arrive. Furthermore, the well known problems of
domino logic, such as the need for output inverters and charge redistribution are completely
eliminated, thus reducing the chip are and delay, and improving the performance.
The FTL is well suited to arithmetic circuits where the critical path is made of a large
cascade of inverting gates. Furthermore, FTL based circuits perfomr better in high fanout and
high swithcing frequencies due to both lower delay and dynamic power consumption.
Experimental results, from the chip measurements, demonstrated superior performance of
the FTL ripple carry adders (RCA) when compared with the dynamic domino and traditional
CMOS logic styles. Our 14-bit low power implementation performs faster, (2.6 times smaller
propagation time delay, an 1.85 times higher max-imun frequency), and provides a better
energy efficiency (3.11 times or 67.9%), when compared with the dynamic domino style. On
the other hand, an 18-bit high speed FTL design, working at maximum frequency,
outperforms the dynamic domino logic in terms of the propagation delay (19.5 times less),
maximum frequency (12.1 times more, nd energy efficiency per bit (29.97 times or 96.7%
better). Moreover, the same 18-bit high speed FTL adder outperfoms other high performance
adders, such as multilevel CSAs, in terms of both, energy efficiency (1.72 times) and
propagation time delay (1.78 times).
However, FTL is very sensitive to the device mismatch, and the variations in the
capacitive loads in the manufacturing proces. The authors show how the sensitivuty of the
FTL based design can be improved through very clever design techniques.
This chapter also discusses the capabilities of the FTL logic in practical applications, and
how to extend the use of this logic to larger word-length arithmetic circuits.
Chapter 8 - In this chapter the most important flicker noise models that have been
proposed in the literature are analytically presented and discussed. The available flicker noise
simulation models in software packages like SPICE and HSPICE and SPECTRE are also
examined and selection criteria in relation to the type of MOSFET and the operating regime
are proposed. The impact of scaling down technologies is discussed and extra analysis is
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

presented in relation to the type of the bias in CMOS transistors. In particular the switched
biasing effect in the MOSFET low frequency noise performance is presented. Additionally,
low frequency noise measurement set-ups are presented and measurement techniques are
suggested.

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
In: CMOS Technology ISBN: 978-1-61761-325-8
Editor: Min-jun Kwon, pp.1-79 © 2011 Nova Science Publishers, Inc.

Chapter 1

PRINCIPLES, INTEGRATION AND CHALLENGES


OF LITHOGRAPHY TECHNOLOGY FOR DEEP
NANO-SCALE CMOS PATTERNING

Yijian Chen1 and Yashesh Shroff2


1
Applied Materials, 3225 Oakmead Village Drive, M/S 1220,
Santa Clara, CA 95054, USA
2
Intel Corp., 2200 Mission College Blvd., M/S SC1-01,
Santa Clara, CA 95052, USA

ABSTRACT
The science and engineering of lithography has been the major driving force for
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

continuous CMOS scaling from micro- down to nano-scale, well known in the
semiconductor industry as Moore's Law which predicts that the density of transistor on a
chip roughly doubles every 18-24 months. This scaling trend has continued for more than
five decades and reshaped our information technology and global society in the 20th and
21st centuries. It is not expected to stop until it hits the economic or physical limit when
further device shrinking will not create enough financial incentives or simply violates the
fundamental physical principles. As the size of transistors decreases, more components
can be crammed onto integrated circuits to enable larger memory capacity and faster
computing speed at lower costs. The scaling pace of different semiconductor sectors
varies significantly, depending on the design and integration complexity of devices. For
example, flash memory NAND devices enjoy their 1-D array characteristics and can be
fabricated with more aggressive shrinking processes (e.g., self-aligned spacer
double/multiple patterning); while scaling of DRAM and logic devices lags behind due to
the difficulty of fabricating more complicated device structures. The evolution of CMOS
design and process will be shown as a reflection of lithographic difficulty in the
semiconductor manufacturing. Several process techniques to overcome the optical
resolution limit such as double/multiple patterning will also be introduced. The technical
and cost issues of these sub-resolution spatial frequency multiplication techniques will be
addressed.
This chapter will be organized into a self-contained manner to not only give a
historical review of CMOS lithography, but also provide a technical guidance for

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
2 Yijian Chen and Yashesh Shroff

industrial/academic readers to understand the fundamental principles, critical challenges


and future directions of lithography technology. The topics to be covered include
conventional technologies such as optical and extreme ultraviolet (EUV) lithography, and
emerging technologies such as maskless EUV lithography.

1. INTRODUCTION
CMOS (complementary metal-oxide-semiconductor field-effect transistor) inverter is the
basic cell of most of modern digital circuits as arbitrarily complex logic circuits with low
power dissipation can be built by suitably interconnecting inverters. In a conventional CMOS
process (e.g., before introduction of 45-nm logic node), we normally pattern poly-Si gates
first, followed by ion implantation and high-temperature annealing to activate dopants in the
source and drain areas. The advantages of this gate-first technique are its less process
complexity and easier scaling to smaller device nodes. On the other hand, to suppress the
depletion effect of the gates as CMOS feature size continues to shrink, the poly-Si gate
material is gradually replaced by metal whose functionality is nevertheless affected by the
thermal budget in the following steps. It has been found in the gate-first CMOS process,
patterning metal gate followed by a high-temperature annealing poses severe challenges to
tight control of the work function of metal, threshold voltage and device performance. To
overcome this problem, a more complicated gate-last approach was invented and introduced
into manufacturing by Intel, and it has been adopted by other semiconductor manufacturers.
A short description of the gate-last approach will be given below.
As demonstrated in step (1) of Figure 1, the sacrificial poly-Si and spacers are formed
first, followed by source/drain doping and annealing, which is similar to the sequence of the
gate-first process. However, the sacrificial poly-Si is only a dummy gate and it will be etched
away in step (3). A thin film is deposited in step (2) to fill in the space between poly-Si lines
and then polished to expose poly-Si. A highly selective poly-Si etching will remove the
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

dummy gates without attacking other materials. In steps (4) and (5), metal A is formed, but
remaining only in the P-MOS area by separate lithography and etching processes. A different
metal B for N-MOS is required, as shown in step (6), to tune the metal work function and
threshold voltage of N-MOS device. After metals A and B are formed in the P-MOS and N-
MOS regions respectively, a conductive material (e.g., metal C) is finally used to fill the
trenches and then planarized using a CMP process. Apparently, forming metal gates after the
high-temperature annealing allows a significantly lower thermal budget to improve the metal
functionality.
Lithographic patterning of gates is one of the most critical steps in a CMOS process as
the gate CD (critical dimension) will directly impact the speed of transistors. In the CMOS
literature, a word “node” is frequently used to indicate the minimum feature size of gates. For
example, a 22-nm node normally refers to the minimum gate CD of about 22 nm. However,
this number is not an accurate indication of the lithographic capability which is physically
related to the pitch (or spatial period) of a periodic pattern. For patterns with a certain pitch, a
trimming process (e.g., an isotropic plasma etching) can often be applied to reduce the resist
(or BARC: bottom anti-reflective coating) line CD to be much smaller than the half pitch.
Therefore, “node” sometimes is considered to be ambiguous from a lithography point of
view, and “half pitch (HP)” is preferred to avoid the confusion about the resolution capability

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
Principles, Integration and Challenges of Lithography Technology… 3

of a lithographic system. In Table 1, the predicted lithographic technology/wavelength, gate


length, and half pitch of different generations of CMOS device are shown. “SE” and “DP”
stand for single exposure and double patterning, respectively. As we can see from the table,
the “half pitch” of CMOS devices is about twice of the gate CD (or node CD).

Figure 1. An example of the gate-last CMOS process flow


Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

A brief description of a typical lithographic process flow is shown in Figure 2. A


lithographic process usually starts from the bottom anti-reflective coating (BARC) to reduce
the standing-wave effect, followed by the resist coating and soft (post-apply) bake. The main
purpose of a soft bake is to remove the solvent in the chemically amplified resist. The
alignment and exposure are done in a lithographic tool, often called scanner or stepper. After
exposure, the wafer will be sent to a thermochemical post-exposure bake (PEB). PEB is one
of the most critical process control steps that will activate the catalyst reaction to convert the
exposed resist areas and also help to eliminate the standing-wave effect by the diffusion of
photoactive compound. Once the PEB step is completed, the wafer will be developed to
remove the exposed resist (in a positive tone resist) and form the final pattern. An optional
post-development bake (hard bake) sometimes is applied to harden the resist. Before
developing a lithographic process, an optical simulation to determine the optimal thickness of
BARC to minimize the standing-wave effect is often needed. Normally, the resist aspect ratio
of the minimum features should not exceed 3-4 to avoid the collapse problem.

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
4 Yijian Chen and Yashesh Shroff

Table 1. The predicted lithographic technology/wavelength, gate length,


and half pitch of different generations of CMOS device

CMOS Node (nm) Half pitch (nm) Gate length (nm) Lithography, wavelength (nm)
45 85-90 40-45 Dry/Immersion DUV (SE), 193
32 60-65 30-35 Immersion DUV (SE), 193
22 40-50 20-25 Immersion DUV (SE), 193
15 30-35 15-22 Immersion DUV (DP), 193
11 22-25 11-15 Immersion DUV (DP), 193
8 16-20 8-10 EUV, 13.5

(Optional) Adhesion enhancement


Anti-reflective coating (BARC)
Bake
Resist coating
Post-apply (soft) bake
(Optional) Immersion top coating
Bake
Wafer edge exclusion
Alignment and exposure
Post-exposure bake
Development
Hard bake
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

Figure 2. A typical lithographic process flow

193 nm deep ultraviolet (DUV) immersion lithography currently is the cutting-edge


workhorse of the semiconductor industry, and 13.5 nm extreme ultraviolet (EUV) lithography
is widely considered as the most promising next-generation technology for future advanced
patterning needs [1]. However, compared to current and prior optical lithography
technologies, the wavelength of EUV light is much shorter and the light energy will be
absorbed by the materials it travels through. As a photon-based lithography technology, EUV
systems have some modules similar to DUV scanners, which is an advantage over other next-
generation lithography contenders such as e-beam and nanoimprint technologies. DUV
immersion lithography is a mature technology and its infrastructural description is readily
available in the lithographic literature. Therefore, this chapter will be focused on the
introduction of EUV research and development, and the fundamental optical principles that
are foundations of both DUV and EUV lithography.

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
Principles, Integration and Challenges of Lithography Technology… 5

Table 2. Comparison of an EUV lithography system with a DUV


(193nm and above wavelength) optical lithography scanner

EUVL Scanner UV/DUV Scanner


Wavelength 13.5nm 193nm or higher
NA ≥ 0.25 0.93(dry)-1.35(wet)
Environment Vacuum N2 purged (Atm)
Optical system Reflective Mostly refractive1
Reticles Reflective Transmissive

Figure 3. EUV reflective illumination and imaging systems [37]


Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

Figure 4. EUV multilayer mask with patterned absorber stack [30]

The EUV imaging system (including the light collector, reticle/mask, and projection unit)
is reflective and is composed of a stack of Mo/Si multilayers with absorber stack for
patterning. Schematic diagram of a reflective EUV optical system is shown in Figure 3 and a
cross-section schematic of an EUV multilayer mask is shown in Figure 4. Critical differences
between EUV and DUV technologies are highlighted in Table 2.
1
Modern 193nm scanners use what's called a catadioptic system which utilizes reflective mirrors to reduce the
number of optical elements in the projection optic, thereby reducing tool weight and dimension.

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
6 Yijian Chen and Yashesh Shroff

The resolution capability of any lithographic system is usually described as: .


Process metric, k1, is a factor that encompasses all the effects outside of exposure wavelength
() and numerical aperture (NA); thus it characterizes the complexity of a lithographic
process, i.e., a lower k1 indicates a more complex process. Lower k1 is achieved by pushing
all other elements (resist, reticle, and tool/illumination) to as close to perfect operation
conditions as possible. A k1 of 0.25 is the theoretical lower limit for single exposure imaging
and a detailed analysis of the imaging theory will explain why later. Lower “k1 equivalent”
values are obtainable through the multiple patterning technique that will be discussed soon.
Later in this section, we shall cover the major modules of a EUV system and understand their
challenges and progress made. While the current 193 nm DUV technology dictates having
complicated reticle sets and low-k1 process engineering techniques, EUV lithography has the
advantages of a 14x shorter wavelength and much higher k1, thus providing larger process
latitude using fewer expensive optical proximity correction (OPC) and resolution
enhancement techniques (RETs).

2. EUV TOOL DEVELOPMENT: STATUS AND REQUIREMENTS


An EUV lithography (EUVL) system comprises of a plasma light source, an illumination
system, a reflective mask and a projection system, and a wafer stage, all of which are
enclosed in a vacuum environment. The vacuum environment is necessary because soft x-ray
radiation at EUV wavelength is significantly attenuated by the atmosphere, particularly
oxygen and water vapor. Typically the source and tool are separated at the point of
intermediate focus (IF). The IF plane may contain a spectral-purity-filter (SPF) to block out
any out-of-band radiation deemed harmful to EUVL imaging performance. All optics and
mask are reflective, composed of Si/Mo multilayer with a protective buffer layer on top to
prevent oxidation of the surface. Carbon contamination is a serious issue and is mitigated by
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

constant flow of cleansing agents such as oxygen in the environment chamber.


Currently there are several EUV tools in operation at various research consortia and IC
manufacturing facilities around the world. The creation of 10x-microsteppers was one of the
earlier tools developed for the study of EUV imaging. These tools utilized a Schwarzschild
projection optical system which contains a convex primary mirror and a concave secondary
mirror. Schwarzschild optics design is notable because it can be designed to produce images
free of spherical aberration, coma and astigmatism. An initial 0.088 NA 10x-microstepper [2]
and a subsequent 0.10 NA Engineering Test Stand (ETS) [3] were supplemented with 0.3 NA
micro-exposure tools (MET). The METs have a 5x demagnification and 4-5deg tilt of
incident wavefront on the reticle. Located at Lawrence Berkeley National Lab (LBNL) and
Intel Corporation, these tools are used extensively for studying defects, resist, flare, and
ultimate resolution of the imaging system [4-7]. A small field exposure tool (SFET) has also
been deployed at SELETE for aiding in EUVL research in Japan [8]. Design is underway to
upgrade the LBNL MET to an NA of 0.5, with ultimate resolution capability of 8 nm half-
pitch. The exposure field of an MET is about 600 um x 200 um [9].
Full-field alpha tools have also been developed. The alpha demo tool (ADT) by ASML at
IMEC in Belgium has a tin based discharge produced plasma (DPP) source [10, 11]. There
are two EUV1 tools manufactured by Nikon – both use a xenon based DPP light source [12,

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
Principles, Integration and Challenges of Lithography Technology… 7

68]. Full-field tools have a 6-mirror projection optics (PO) design and support a 26 mm ring
width and can scan at least 33 mm long field. The alpha tools are precursor to the 0.32 NA, 6-
mirror projection optics based pre-production tools currently in development at ASML.
Besides that, 6 NXE (3100) tools with 0.25NA & 0.8ζ targeted at 27 nm half pitch are also
being built by ASML, although the throughput goal still lags behind at 60 wafers per hour
assuming a resist sensitivity of 10mJ/cm2.
Beyond the need of the next two or three nodes, it will become necessary to increase the
NA of the EUVL full-field tools to 0.40 or higher [13]. This is where the optical design
becomes increasingly complicated. It requires to either introduce a central obscuration for
designs with NA≥0.40 with six-mirrors or move to an unobscurated 8-mirror design. At
NA>0.60, even 8-mirror systems would require obscuration [14]. The number of mirrors in
the projection system needs to be even such that a practical design can have the object and the
image plane in opposite planes, thereby not restricting the wafer stage motion.
All mirrors in modern EUVL PO systems are aspheric. The goal is to keep residual
aberrations to a minimum in any PO design. By keeping the incident angle low (below 10-
deg), aberrations are controlled to allow the tool to reach ultimate resolution capability for the
given NA. Current systems such as Nikon‟s EUV1 keep the total aberrations to 0.40 nm
RMS, an impressive feat by any account [15, 43]. Today‟s systems are well beyond the
necessary aberration constraints, so it is conceivable that the potential exists to increase NA
with existing mirror polishing technology or change the field size.
The total light transmission of any optical system is governed by its étendue. The area
solid angle product or étendue is defined as:

(1.1)

the total photon flux allowed by the system (a metric we want to continually optimize upward
to increase wafer throughput) is the product of the transmission of light by the optics and
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

étendue. The tool étendue is limited by the ability of the optics to create a distortion-free
image at the wafer plane. To ensure that the maximum efficiency of the light source is
utilized, it is important to match source étendue with the tool étendue.

3. EUV LITHOGRAPHY CHALLENGES


According to ITRS roadmap [18], the semiconductor industry was poised to print 22 nm
half-pitch patterns by 2013. However, certain memory industry such as NAND flash has
scaled faster than what ITRS predicted, e.g., 24 nm half-pitch NAND flash memory has been
reportedly available in 2010. It is expected the minimum NAND half-pitch will reach the
limit of spacer double patterning at about 20 nm by 2012. After that, there are several
lithographic candidates for the NAND application: self-aligned triple patterning (SATP), self-
aligned quadruple patterning (SAQP), and EUVL. We shall discuss the SATP and SAQP
processes in detail later in this chapter.

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
8 Yijian Chen and Yashesh Shroff

Table 3. EUV risks and infrastructure readiness overview

Module Specification Status Concerns and options


Source power >180W at intermediate 50W calculated2 Improve conversion efficiency,
focus (2% BW) laser power for LPP. Medium risk.
Resist <1.5nm 3 LWR LWR ~ 4-5nm at 20nm HP Mitigate resist outgas so as to not
<10mJ/cm2 sensitivity at node and dose around 15- impact optic lifetime. High risk.
below 20nm HP node 20mJ/cm2
Defects Zero printing defects Varies Establish mask blank and
patterned inspection
infrastructure. High risk.
Optics <0.1nm rms figure ca. 0.5nm rms and 15% Steady progress. Low risk.
<7% intrinsic flare flare
Optics >5 year lifetime No consensus Need validation from established
contamination toolsets. Medium risk.

Table 4. EUV power requirements for HVM

Throughput 100WPH
Exposure Time1 18 sec
Resist sensitivity 10mJ/cm2
Transmission 0.5%
In-band EUV power 180W
at IF
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

Figure 5. Throughput model assuming resist sensitivity of 10mJ/cm2. IF power of 160W is required to
meet 100WPH high-volume requirement based on a realistic wafer overhead of 15sec and exposure
overhead of 10sec. Zero overhead case is also indicated

EUVL may be first used in the memory manufacturing (e.g., DRAM) due to memory‟s
relaxed defect requirements and its faster scaling pace than CMOS logic devices. While
EUVL has made significant progress in its system development, there are still many difficult
challenges to overcome. At 13.5 nm wavelength, EUV offers a tempting alternative to its
longer wavelength cousin in DUV spectrum, 193 nm. Production of sub-wavelength RMS
roughness mirrors to meet imaging targets, near theoretical levels of multilayer reflectivity,
continuous improvement in source power and optic lifetime are just some of the successes of
EUVL. However, for insertion into high volume manufacturing (HVM), many more
challenges need to be overcome. Of these, source power is the most prominent and the laser

2
The use of a full collector would be too expensive for evaluation purpose, usually partial collector based calculated
value translates well to the full-collector power, assuming thermal considerations hold true.

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
Principles, Integration and Challenges of Lithography Technology… 9

industry has achieved significant improvement to meet the HVM requirement. Others serious
issues are mask defects [26], resist performance [64], and cost of ownership [63]. Table 3
outlines some key specifications in EUVL for 2013 HVM [18] applications.

3.1. EUV Source Power Requirement

The light source comprises source and collector modules (SoCoMo) that are responsible
for bringing in-band3 photons to the intermediate focus (IF) plane where the source is mated
to the scanner. From the vantage point of end consumers (i.e., IC manufacturers), the source
parameters drive wafer throughput, CD control, and cost. To meet these requirements, apart
from bandwidth and power, the light source specifications also include étendue, dose
stability, operating cost, and component lifetime. There are two main technologies currently
in contention for EUV: discharge produced plasma (DPP) and laser produced plasma (LPP).
From an initial set of fuel choices of xenon [68], lithium, and tin, for high-volume
manufacturing, only tin is currently viewed as most viable for providing the necessary power
to meet throughput requirements [61]. Xenon has the benefit of low debris given its inert and
gaseous nature; however, it has the lowest conversion efficiency (CE) of the three choices.
Lithium has one of the highest theoretical conversion efficiency but a practical system
capable of operating at that limit has so far evaded researchers [65, 66]. Therefore, liquid tin
with its reasonably high CE has remained the fuel of choice. Over the years of EUV source
development, CE% has also improved, thereby allowing source suppliers to meet the growing
power requirement challenge. With each node that EUV is extended, the power requirements
go up such that from early 2000s when EUV was first expected to hit commercialization, the
requirement of 100W at IF has now gone up to about 200W (Table 4) and possibly even
400W by the time it can be realized for high volume manufacturing.
Wafer overhead includes time to change wafer, alignment, etc. Exposure overhead
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

includes stepping time and acceleration and deceleration time for each step. Therefore, the
total throughput, measured as wafer per hour is dependent on number of fields exposed,
overhead terms, total input power, resist sensitivity, and finally, transmission through the
optical column (IU, PO, and reticle). A representative wafer throughput versus power
scenario is shown in Figure 5. A power requirement of 180W at IF plane is necessary for a
throughput of 100WPH4 assuming the following: 115 fields of size 20 mm x 25 mm exposed
with resist sensitivity of 10mJ/cm2, exposure and wafer overhead of 15sec, and average IU &
PO mirror reflectivity of 63%. Each of these assumptions can take on a range of values;
therefore, it is too premature to predict the precise power requirements at this stage. For
instance, if the resist industry fails to meet LWR and resolution requirements at sensitivity
lower than 15mJ/cm2, then the required power may jump up to 270W.

3
Source bandwidth,  ≤ 2%.
4
All calculations are based on 300mm (diameter) wafers.

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
10 Yijian Chen and Yashesh Shroff

3.2. EUV Mask Defect

There are three critical areas where defects are a concern in EUVL: mask blank inspection,
patterned mask inspection and patterned wafer inspection. Defect inspection for both blank and
patterned masks has been a growing challenge for EUV [38, 58]. The International Technology
Roadmap for Semiconductor (ITRS) has defined specifications for all aspects of EUV mask
defects such as size and opacity for various technology nodes. Defects are seemingly endemic
to every aspect of multilayer in EUV reticles. They could be bumps or pits on the substrate; and
these defects can propagate up the multilayer stack and manifest as phase defects. These defects
are known as buried defects. Surface defects are also a cause of concern; however, they are
more easily identifiable and can be readily cleaned. How detrimental is a defect is determined
largely by its proximity effect to a main feature [22].
The two major problems in this area are mask inspection and defect printability. Actinic
Inspection Tools (AIT) exist and they are capable of detecting buried defects as small as 1nm
in height, however, AIT is a research tool and as such is not capable of supporting high
volume of a mask pilot line, let alone HVM [23]. Several defect studies conducted on AIT
have given us insights on defect printability, especially through focus.
The EUV reticle specifications include substrate/blank defects, substrate reflectivity,
sidewall angle, absorber line-width roughness, and mask flatness. The blank defect density is
based on phase defects that can occur when fabricating the multilayer reflective coating and
substrate defects (pits and bumps). Determining substrate defect specs is complicated by the
fact that it has both a phase defect component driven by depth (or height) of the pit (or bump)
and a size characteristic. Worst case performance induced by a defect determines the
specification. In this case, a step of 3.4 nm is sufficient to induce a 180degree phase shift in
the reflected wavefront. On the other hand, a 90 degree defect (1.7 nm height) can also cause
a CD defect if it is about twice the specification size [26].
EUV mask flatness has a very tight specification, since non-flatness causes a registration
error associated with the off-axis illumination of the masks5. The specification for flatness is
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

such that it contributes an equal amount to the wafer registration error as mask patterning
does. Ongoing research may allow this specification to be loosened in the future. This is
based on the concept of measuring a mask‟s non-flatness and then correcting the position of
the patterns such that the printed pattern will be in the correct location even if the mask does
not meet the flatness specification.
EUV mask blanks must start and remain free of defects. In DUV lithography a thin non-
absorptive organic film is used as a pellicle to defend against ambient particles from
depositing on the patterned surface of the reticle. Though EUV pellicle solution is proposed
[72], around 30-40% of light absorbed by the pellicle makes the solution less than ideal. It is
desirable to find pellicle-free solutions to keep patterned masks defect free. These different
NGL mask requirements can be expected to exacerbate, rather than relieve, the high costs of
masks.
For successful insertion of EUVL into HVM of logic devices at the 22nm half-pitch node
by 2013 (which might not be practical though), the development and readiness of a
commercial EUV mask inspection tool infrastructure is a critical gap that remains to be

5
Over 142x142 mm2 area of the mask, the expected P-V is <30nm for 22nm node, as per SEMI P37 spec
developed by SEMATECH Inc.

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
Principles, Integration and Challenges of Lithography Technology… 11

addressed [45]. Mask pilot line activities will accelerate as pre-production tools are deployed
at multiple sites worldwide over the next few years.

3.3. EUV Mask Inspection

Mask inspection is essential to qualify reticles for use in production environment [23].
Printable defects need to be identified, evaluated, and hopefully repaired. Defects can cause
amplitude change or phase change in reflected wavefront. Particles on the surface of the
multilayer are generally amplitude defects while defects caused by pits or bumps in the glass
substrate manifest as phase defects. Amplitude defects can be detected by commercial tools
that use DUV wavelength inspection. However, in order to detect impact of phase defects on
aerial image, actinic tools must be utilized [34]. Defect inspection is carried out at several
steps in the EUVL reticle manufacturing process. The sequence of fabrication steps is
highlighted in Figure 6 with critical inspection steps indicated.
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

Figure 6. EUV reticle fabrication process flow. Defect inspection points are inserted along with defect
removal and cleaning steps before the mask is shipped to the factory

Preparation of defect free glass substrates has been an ongoing research topic but it is
difficult to produce completely defect free glass substrates for HVM applications. Pits are
more prevalent and account for 75% of the total defects detected [19]. Inability to repair
multilayer defects is one reason for tight specification on mask blank defects.

4. EUV SOURCE DEVELOPMENT


In this section we will cover the basic types of EUV light sources and current technical
challenges of EUV source technology. EUV light can be generated by excitation of very hot
plasma. The spectral emission properties of plasma are known from the well known Plank
relation between wavelength and temperature.

(1.2)

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
12 Yijian Chen and Yashesh Shroff

For =13.5 nm, the required plasma temperature is about 220 000 K which can be
reached via excitation through either pulsed electrical discharge or by pulsed laser discharge
[16].
The gas discharge produced plasma (GDPP) method is being pursued jointly by
Phillps/Xtreme in Europe using tin as a fuel for plasma [69]. Laser produced plasma (LPP) is
favored by Cymer in USA and Gigaphoton in Japan, also using tin. Both methods have their
supporters and detractors and both have shown a gradually increasing power output at
increasing burst duration. Current development of EUV sources is focused almost exclusively
on tin (Sn) to generate plasma. Sn fueled discharge sources benefit from high conversion
efficiency. For GDPP, this implies having a small pinch size and for LPP sources less debris
for given power at intermediate focus (IF). Alpha tool EUV sources are at 3-7 W power at IF
plane. These sources are sufficient for research purpose but fall short in terms of power and
cost efficiency when considering high volume production environment. Downtime related to
fast use of consumables such as electrodes is a significant obstacle. The requirements are high
uptime (reliability), low cost of operation, high power, and dose stability. Ongoing work at
several source manufacturers aims to solve these issues, albeit with very divergent method.

4.1. Gas Discharge Produced Plasma

A tin GDPP source consists of two rotating electrode wheels that are covered with a thin
tin layer. A high power laser ablates tin on one of the electrodes forming a vapor plume that
propagates towards the second electrode thereby creating a conductive channel between the
two electrodes. The rotating electrodes continually regenerate the tin surface; most of the tin
(Sn) is recycled back into the system (see Figure 7). Unlike its xenon based GDPP
predecessor, no electrode erosion helps keep operating cost low. Secondly, by collecting and
recycling Sn, there is less debris to worry about. The Philips/Xtreme approach uses two laser
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

pulses fired in quick succession followed by a long pause to generate maximum EUV light.
The researchers have been able to show that increasing the input laser power directly
correlates to increasing the in-band EUV radiation. The diameter of the pinch needs to be less
than 1mm to meet the source étendue requirement. To produce 180W at IF, thermal load
needs to be managed, which is a topic of ongoing investigation.

Figure 7. GDPP-Sn based EUV light source (Reprinted from [Ref 34])

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
Principles, Integration and Challenges of Lithography Technology… 13

Other main components of a GDPP system are an efficient debris mitigation foil and
grazing incidence collector. The collector is composed of a series of concentric shells. Each
shell has a front-end ellipsoid portion that is mated with a back-end hyperbolic portion to
focus EUV radiation at the IF plane. The grazing incidence collector shells have a built-in
thermal management and thick EUV reflective metal coating. The debris mitigation system is
a foil trap with buffer gas that prevents most high energy Sn ions from impinging upon the
collector surface and eroding the shells. The foil trap and collector need to be designed for
long lifetime. The high-cost collectors are expected to have a lifetime of one year or more in
high volume production environment. Currently the GDPP technology is still in development
mode; and even though remarkable progress has been made in recent years, much more
improvement in power needs to be made.

4.2. Laser Produced Plasma

The LPP based system in development by Cymer uses a high power CO2 laser to create
highly ionized plasma from tin (Sn) droplets [67]. The laser beam is focused onto a droplet of
Sn to generate plasma through a central opening in the collector mirror. The normal incidence
collector (NIC) mirror captures the portion of radiation emitted in the backward direction in a
5-sr collection angle and focuses it on the IF plane. Figure 8 shows the system in detail. Even
a laser is used to generate EUV source power, the emitted EUV light is spatially incoherent.
Along with in-band EUV and significant out-of-band radiation, these high power sources
also generate a lot of debris in the form of high-energy ions, neutral atoms, and clusters of
target material [60]. This can, over time, reduce the reflectivity of graded multilayer coated
NIC. Current estimates put the lifetime of consumable optics in the LPP source at around one
year of operation at HVM.
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

Figure 8. Schematic diagram of an LPP EUV source from Cymer (Reprinted from [Ref 35])

Power improvement is achieved by continuous increase in laser output power. A pre-


pulse expands the Sn droplet and the main pulse then fully eviscerates it. This helps improve
the output energy per pulse and reduces Sn debris that inevitably collects around the
expensive NIC. Improvement in power also comes from operating the laser and droplet
CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
14 Yijian Chen and Yashesh Shroff

generation systems at higher frequencies, currently at 50kHz. At the middle of 2010, the laser
industry reported LPP source generating 90-100W raw power at IF. Excluding the raw power
loss due to dose control and spectral filtering, EUV exposure power is expected to reach 40W
in 2010, and >60W in 2011.

5. EUV RESIST DEVELOPMENT


Resist development has consistently been highlighted as one of the most critical issues
gating HVM deployment of EUVL. Despite the progress in recent years, resist goals remain
challenging for 2013 (see Table 3). Resist challenges in EUV revolve around pushing the
limits of LER and exposure dose at the tightest pitches of interest. The key lithographic
performance indicators - resolution, LWR, and sensitivity – known as RLS, are
interdependent. One parameter cannot be improved without degrading performance of the
others [20]. To meet EUVL requirements, the RLS triangle (indicated pictorially in Figure 9)
needs to be reduced simultaneously. The RLS challenge is not unique to EUV but due to the
unavailability of high power source, it takes on a more ominous tone. For instance, line-width
roughness, or LWR, is a statistical outcome of the number of photons used to expose a given
feature (Esize). It is inversely related to sensitivity. Increase in exposure dose improves LWR
and gives higher resolution, but resist sensitivity suffers. Several experiments [17, 28, 29]
show conclusively that RLS is a limiting factor in resist performance, which is also confirmed
by simulations [20].
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

Figure 9. RLS tradeoff challenge in improving resist performance

The impact of shot-noise limiting resist performance has been experimentally observed
[17, 21]. Based on the Poisson statistics, a more accurate relationship between LWR and dose
has been derived:

(1.3)

If roughness between two edges of a line is uncorrelated, the values of line edge
roughness (LER) and line width roughness (LWR) are related by [20]

(1.4)

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
Principles, Integration and Challenges of Lithography Technology… 15

Thus, . Several dozen resists have been evaluated on the 0.3 NA MET
and the LER quantified against the dose. Results are plotted in Figure 10 and the solid line in
the figure shows LER dependence on dose.

Figure 10. LER due to non-mask sources plotted against dose (reprinted from [Ref 40])

6. EUV IMAGING WITH MULTILAYER MIRRORS


Fundamentally, imaging optics with 13.5 nm wavelength is no different than 193 nm dry
or immersion lithography since both use photons for patterning resist. Historically, the
development of multi-layer coating on aspheric mirrors was an important milestone to mark
technical feasibility of soft x-ray imaging. Along with figure control, multilayer deposition
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

techniques also demand high reflectivity. Low stress (to minimize the reticle bow), thermal
stability (to withstand high power EUV light source) drive the interface engineering of EUV
multilayers [70]. In this section we outline some of the significant challenges with multilayer
technology and present state of art.

Figure 11. (a) DUV resolution as a function of NA and process metric, k1; (b) similar chart for EUV
shows resolution requirements sub 20nm HP can be met with much simpler process (higher k1)

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
16 Yijian Chen and Yashesh Shroff

6.1. EUV Mirror Structure

All EUV mirrors have four key components. The optics consist of a low thermal
expansion material (LTEM) substrate on which alternating layers of molybdenum and silicon
(Mo/Si) are deposited. The substrate coefficient of thermal expansion (CTE) is less than
5ppb/K because expansion of the substrate results in registration errors of the image projected
on the wafer [71]. The substrate flatness spec according to SEMI P37 needs to be less than 30
nm. Use of interface layer such as B4C is useful in improving the reflectivity of the mirrors at
high operating temperatures. Finally, a capping layer such Ru is utilized to prevent oxidation
of the top surface, thereby maintaining the mirror reflectivity. The multilayer stack is
deposited on aspheric highly polished low thermal expansion material substrate. Multilayer
mirrors typically reflect 60-70% of incoming radiation and the absorbed energy needs to be
dissipated. Even so, there is a rise in temperature as the EUV source power increases to meet
HVM throughput requirements. Development of Si/Mo multilayers has created significant
new technologies, such as atomic level control of deposited layers and development of
aspheric highly polished mirrors. The reflectivity of EUV light (at near normal incidence) on
Mo/Si multilayers is around 67% at 13.5 nm wavelength. Thus, for a 6-mirror projection
optics (PO), the total photon flux from reticle to wafer is less than 7.5%. More importantly,
addition of two more mirrors for an 8-mirror PO system decreases the total photon flux such
that the source power requirement nearly doubles. To meet the continually increasing high
resolution requirement, EUV projection system NA needs to be increased from current
designs of around 0.25 to >0.4. At 0.4 NA, we have a choice of either using a PO that has a
central obscuration or using an 8-mirror system. For NA≥0.5, 8-mirror system is necessary.
Figure shows the resolution trending with numerical aperture. To meet sub-20 nm HP with k1
of 0.6 we need NA>0.4. Alternatively, phase-shifting mask or other resolution enhancement
techniques need to be used for EUV lithography (thereby effectively reducing the k1 factor
and increasing the process complexity). Each option has its detractors and the ultimate choice
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

will be dependent on economics of high volume manufacture and technology readiness.


Compared to DUV optics, EUV mirrors have a much tighter optical spec. This is because
the quality of the final finish of the multilayer mirrors determines the ultimate imaging
capability of the system. Optical aberrations (usually characterized in the form of Zernike
polynomial coefficients) and flare need to be minimized.

6.2. Flare Impact on EUV Imaging

Flare is caused by the scattering of light from roughness of the optics. Light from bright
areas of the mask is scattered by the optical components and redirected across the image field
including areas meant to be dark. Such internal scattering is mitigated by polishing the optics
but is never eliminated [39]. As a result of flare, maximum intensity in the bright areas is
reduced and the dark areas become less dark. The resulting loss in image contrast coupled
with lower image log slope will reduce the process window [54].
Non-idealities in the performance of imaging optics such as aberrations and flare become
more important for low k1 processes. EUV multilayer non-idealities are bracketed in three
major components. These are low, middle, and high spatial frequency roughness. Low spatial

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
Principles, Integration and Challenges of Lithography Technology… 17

frequency roughness is figure error and is characterized by the first 37 Zernike polynomial
coefficients. High spatial frequency roughness leads to loss of light outside the pupil
collection angle of the projection system [40, 41, 42]. Multilayer mirror surface roughness
mainly responsible for flare is in the mid-spatial frequency range of 1/mm to 1/um. In
general, flare relates to the total fraction of power scattered away from the specular direction,
known as Total Integrated Scattering (TIS). In a smooth surface approximation (SSA), under
the assumption of a Gaussian height distribution of roughness, TIS is given as [57]:

(1.5)

Here is related to rms roughness, or phase difference introduced by surface height


variations (instead of partial coherence with the same symbol to be discussed in other
section). is the incident angle and is the exposure wavelength. In the small roughness
regime, ), TIS scales linearly with the inverse of the square of
wavelength [56].

(1.6)

Due to the short wavelength of radiation, flare in EUVL is difficult to control. Apart from
loss in contrast and process margin, flare contributes to CD error as a result of mask pattern
density variation across the reticle [55]. Lithographic measurements are most widely used to
determine flare, the most popular method being “Kirk test”. In Kirk test (see Figure 12),
usually a 2 um line is exposed with a fixed blade opening in the scanner [44]. The dose at
which the line partially “disappears” is taken to decide the flare percentage.

(1.7)
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

Figure 12. Lithographic measurement of flare using "Kirk" test. Increasing dose eventually results in
sufficiently exposing the “dark” pattern. Dose to clear in this case is 12mJ/cm2. This is on a tool with
approximately 7.5% flare ([Ref 46])

E0 is the dose to clear resist for an open frame exposure. The term represents
the reflectivity of the absorber stack in the EUV reticle. Figure shows a series of resist SEM

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
18 Yijian Chen and Yashesh Shroff

(scanning electron microscope) images with an increasing bright-field dose test used to
determine the intrinsic flare of the optics. A 50% disappearance of the line is a qualitative
indication of flare. The impact of flare on aerial image intensity is calculated using [55]:

(1.8)

The term is calculated by convolution of the point spread function (PSF) of


the optical system with (the intensity profile without flare):

(1.9)

The PSF of the imaging optics can be directly calculated from the power spectral density
(based on roughness of the mirrors) or, directly (lithographically) using Kirk test described
above. The aerial image of 20/40 L/S pattern with 20% and zero flare is shown in Figure 13.
Since the impact of flare is in the order of several millimeters, flare correction to minimize
CD variation and improve process window through mask design becomes important [46, 51,
53]. In conjunction with continual improvement in MSFR of projection optics, post design
changes in layout via dummification and CD biasing are important techniques utilized by
lithography engineers to overcome this critical non-ideality in imaging.
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

Figure 13. Aerial image of a 20/60 line/pitch pattern indicating the impact of flare on CD, contrast, and
image slope

7. EUV PHASE-SHIFTING MASKS


EUV is expected to come into high-volume manufacturing for 16 nm or lower half-pitch
node. This implies that for an optical system with an NA of 0.25, the process factor, k 1, is of
the order of 0.3 or below. This is an extremely aggressive regime for EUV to be inserted.
Even with increase in projection NA to 0.4, there will be some need for reticle enhancement

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
Principles, Integration and Challenges of Lithography Technology… 19

techniques at the 16 nm and lower HP nodes. Phase-shifting masks in EUV lithography is one
such approach [31].
For many generations, phase-shifting masks (PSM) has been a mainstay of DUV
lithography [32, 33]. Optical principles of phase-shifting masks will be discussed later.
However, unlike the longer wavelength and transmissive mode of DUV wave propagation,
EUV has a much more challenging PSM problem at a shorter wavelength and reflective
mode.
In the alternating phase-shifting mode (Alt-PSM), phase change of 0 and is used with
TaN/TiN/Cr as absorbers. Destructive interference of light amongst nearby phase-shifted
regions can be used to generate arbitrary patterns in theory. One method of achieving phase-
shift in EUV reticles is to etch the multilayers. In this case, the desired shift in phase
attributed to the wavefront reflected from etched multilayer can be achieved with multilayer
etch-step given by [27]:

(1.10)

Here is the desired phase-shift,  is the angle of incidence of EUV radiation (typically
6deg), is the difference in the refractive index between the multilayer film and vacuum,
and is the actinic wavelength of 13.5 nm. Since the Mo/Si multilayer stack refractive
index is 3% higher than in vacuum, the necessary multilayer step is in the order of 110 nm for
180deg phase shift in the reflected wavefront.
While a relatively high contrast compared to other PSM methods can be achieved, the
AltPSM approach is already difficult to execute in practice for DUV lithography; and with a
multilayer reticle, it is even more challenging for EUV lithography. On the other hand, by
combining a weak resolution enhancement technique such as attenuated phase-shifting mask
(Att-PSM) with optimized illumination, we can greatly improve the process window of low k1
patterns. Compared to binary masks, attenuated PSM can improve image quality by
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

increasing contrast and depth-of-focus. A phase step in the substrate before deposition of
Mo/Si multilayers becomes the starting point for AttPSM mask fabrication. The phase step
can created either by directly etching into the substrate, or more accurately by patterning a
thin layer on the substrate. The step height is given by [35]:

(1.11)

Here m is an integer number. For , the phase step is 3.4 nm for the incident angle
of degrees. While AttPSM does provide sharper images compared to binary reticles, it
has an image imbalance problem that worsens with defocus. Also, phase errors are harder to
control for EUV AttPSM compared with DUV AttPSM. The etch depth in a DUV lithography
PSM quartz is given by

(1.12)

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
20 Yijian Chen and Yashesh Shroff

For a phase-shift of 180o, if the phase error is required to be less than 5%, it is easy to see
that the required etch control for EUV is or about 0.34 nm6.

Figure 14. (a) Phase-shifting masks improve process window significantly over binary mask, allowing
lower k1 printing while maintaining image fidelity. (b) EUV multilayer design for each of the 3 cases
shown here. BIM is 51 nm TaN, AttPSM has 26 nm TaN on 12 nm Mo (for 180deg phase shift), Alt
PSM has 110 nm multilayer etched

Other techniques to achieve phase-shifting are related to use of a phase-shifting layer in


the absorber stack. By transmitting about 6% of light from the “dark” regions of a layout at
180deg phase shift from “bright” regions, contrast improves over binary mask. The absorber
stack consists of attenuator, spacer layer, a phase-shifting layer, and finally an etch-stop or
buffer layer. The stack needs low reflectivity at DUV to achieve high contrast in mask
inspection. For this reason, an APSM based on a Fabry–Pérot structure can be useful.
Alternatively, we may use anti-reflective coating (ARC) to increase DUV contrast. Absorber
material such as TaN or SnO, with a large k (extinction coefficient) reduces stack thickness
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

and lower shadowing. In conjunction with a spacer layer such as Al2O3 [36], these two layer
thicknesses can be tuned to achieve target EUV reflectivity and maximize DUV contrast. Ru
is used as a capping layer. Contamination induced loss of reflectivity is a major concern and
Ru is one of the leading candidates for oxidation mitigation. It also serves as an etch-stop
layer during mask fabrication [31].
In Figure 14(a), we show the relative performance of binary against both types of phase-
shift mask approaches. While absorberless alternating phase shift mask has been used to some
extent successfully in DUV reticles, in case of reflective masks, this approach is not
attractive. The performance gain is lost due to severe shadowing from the deep trenches that
need to ion-milled into the multilayer. Secondly, the number of multilayers needs to be
increased, otherwise the phase-shifted wavefront is attenuated. Attenuated PSM can be
obtained for EUV with the inclusion of a step or etch in the substrate layer or by suitably
choice of layers in the absorber stack. The latter approach is shown in the example of Figure
14(b). Mo can be used as a phase-shifter layer and TaN as an attenuator7. For simplicity, ARC
or spacer layer is not shown in these simulations but needs to be included in a practical
implementation.

6
By comparison, for 193 nm, etch control of is about 28x lower at 4.8 nm.
7
TaN has a much higher extinction coefficient than Mo but both share similar .

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
Principles, Integration and Challenges of Lithography Technology… 21

As a final note on this topic, it remains to be said that while phase-shift masks served a
long stint in DUV lithography in improving process margin for given k1, it is unclear whether
this technology will play a similarly important role in EUV. Apart from the obvious difficulty
of etching multilayers accurately, phase-shifting increases absorber stack height relative to a
binary reticle and adds to H-V (horizontal-vertical) CD biasing concerns.

8. SUMMARY OF MASK BASED EUV LITHOGRAPHY


Even EUVL is moving closer to pilot line introduction, a number of serious concerns
remain regarding its high volume feasibility. Source development has made continuous
progress in power at IF plane, going from 3-5W in alpha tool deployments to over 90-100W
demonstrated by 2010. Mask defects is the other challenge and in the end it could mean a
much more expensive EUV system. Characterization of mask defects with wafer printing or
actinic imaging shows that not all defects are critical. Efforts are underway to develop high
volume actinic blank and patterned inspection tools, led in part by SEMATECH. However,
with each shrinking node that EUVL deployment is shifted out, the size of critical defects
decreases and so does the capability of existing tools to support defect evaluation.
IC manufacturers may start inserting EUVL at the 16 nm half-pitch node after 2015. To
make this possible, resist improvement in the form of sub-2nm LWR at 10mJ/cm2 sensitivity
is necessary, along with defect-free logic masks and >180W light source. Whether the
semiconductor industry can find solutions to the mask cost and defect challenges remains to
be seen and an alternative technology to consider is the maskless EUV lithography.

9. MASKLESS EUV LITHOGRAPHY


Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

The predicted cost of ownership (CoO) of mask (reticle) based EUV lithography for 22-
nm node is shown in Fig. 15. Assuming a high-volume mask life time of 20,000 wafers per
mask (WPM), the EUV lithography and mask consume about 60% of the total cost of six
major IC fabrication modules. What does this mean for the foundry and ASIC (application-
specific IC) industries wherein a large portion of the lots are run at <1000 wafers per mask? It
is evident that EUV CoO (especially the mask related costs) based on above economic model
will be prohibitive to prevent it from being adopted into medium-to-low volume production.
MEMS (micro-electro-mechanical systems) micromirror-based maskless lithography is a
potential future technology to overcome a variety of challenges facing the semiconductor
industry. Figure 16 is a conceptual demonstration of an EUV maskless lithography system, in
8 9
which 10 to 10 programmable micromirrors are needed to replace the physical masks and
achieve satisfactory throughput. Each micromirror consists of about 40 Mo/Si (reflective)
multilayers with total thickness of about 0.3 m [73, 74], and its surface position can be
switched to certain analog level by applying a relevant voltage. This enables the “grayscale”
patterning [75, 76] as the wave interference will occur when the incident EUV light is
reflected back from neighboring micromirrors (biased at different positions with varying
optical path difference).

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
22 Yijian Chen and Yashesh Shroff

Source: SPIE Litho Asia 2008

Figure 15. Comparison of the cost of ownership of single/double patterning and EUV lithography
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

Figure 16. A conceptual demonstration of maskless EUV lithography


8 9
In spite of the technical challenges of making 10 to 10 micromirrors on a small chip
(e.g., 2 cm  2 cm), this method to replace the physical masks by a programmable
micromirror array will offer tremendous savings in both mask and scanner costs (due to much
smaller printing field of maskless scanner and simpler tool design & manufacturing), and
improve our design-for-manufacturing capability by enabling more adaptive process control.
Superior imaging performance of micromirror-based maskless lithography using grayscale
pattern generation has been demonstrated recently [75, 76]. Maskless lithography can also
help to reduce the impact of defects on “masks” by allowing redundant printing and using
much higher image demagnification (e.g., 100x). Redundant printing of each pixel with
several exposures using different micromirrors will significantly reduce the defect number on
the wafer. Commercial defect inspection tools to detect printable mask defects with the size
of tens of nanometers are still not available. Thus the high image demagnification required by
maskless EUV lithography is of particular interest as the printable defect size will be much

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
Principles, Integration and Challenges of Lithography Technology… 23

larger. This allows the metrology industry to extend the current generation of equipment to
inspect much larger printable “mask” defects at the micron scale, consequently saving a large
amount of capital expenses and long development time.
The processes to fabricate large-scale and ultra-dense micromirros to meet the
requirements of maskless lithography are beyond existing optical MEMS technologies and
several dynamics, control and fabrication challenges must be overcome [74]. One of the main
technical challenges of fabricating EUV micromirrors comes from the requirement of low-
voltage and high-speed device operation in vacuum without air damping. Due to the limited
space for each micromirror, complex feedback control circuits are not allowed; thus only
optimal electrical (resistive) damping can be considered to minimize the micromirror‟s
settling time.
The stability of micromirrors is another important issue in maskless lithography. The
parallel-plate microactuator is well known for suffering from the unstable “pull-in” problem.
But parallel-plate microactuators can be fabricated relatively easily and have been widely
adopted in many commercial MEMS products. Most of them use a relatively high voltage
(which allows a large gap) and incorporate hard-stops. However, device instability is a major
concern in maskless lithography, especially in low-voltage actuated EUV micromirrors
(operating in vacuum with very small gaps). Furthermore, when the unstable pull-in happens,
the low voltage used in micromirror devices will not be able to overcome the stiction force to
bring them back up. If micromirrors snap down and get stuck on the substrate, these non-
functional mirrors will become defects and degrade the processing yield. Therefore, contact
or hard-stop operation is precluded in maskless EUV lithography. More stable devices such as
the vertical double-comb tilting and double-flexure piston micromirrors, as shown in Figure
17, have been designed to overcome the instability problem [74].
To be compatible with IC circuitry underneath, micromirrors should be fabricated with
low thermal budget (e.g., lower than 420C). SiGe has been studied as a structural and
damping material to meet the low-temperature and resistivity requirements and the
processing-related issues [74]. Self-aligned processes using “spacer nanolithography” to
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

define ultra-thin gaps for low-voltage MEMS operation have been developed to fabricate
vertical-comb tilting micromirrors and double-flexure piston micromirrors with mirror sizes
ranging from 10 to 0.5 micron.

Figure 17. Schematic diagram of a double-flexure piston micromirror (left) and a double-comb tilting
micromirror (right)

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
24 Yijian Chen and Yashesh Shroff

A multiple-scan imaging theory will be developed for maskless EUV lithography, which
includes the effects of both deterministic image blur caused by the wafer scan and stochastic
blur due to laser‟s random timing jitter. More detailed analysis based on partial coherent
simulation has shown that the image blur can impact CD control, image slope, line-edge
roughness (LER), and process window in maskless lithography [77]. It is also shown that the
effects of image blur do not impose serious constraints on lithographic performance in low
throughput operation. However, when throughput is high, significant CD shift, lower image
slope and higher LER, and process window degradation are observed. The dependence of CD
on the wafer‟s scan speed and the distance between neighboring features will be an important
issue of maskless OPC methodology as well.
Assume the wafer is scanned/exposed n s times at a uniform speed V in x direction, and
the stationary/unblurred image corresponding to each scanning is the same: f ( x, y) . Due to
the wafer scanning, each individual exposure will suffer from a deterministic blur effect.
Ideally, all these n s images will overlap perfectly with each other and no further image blur
will be observed. However, due to the timing jitters of EUV laser pulses, all the individual
images will have random placement errors assumably with the same statistical characteristics.
Consequently, the final image as the sum of all individual image profiles will be further
blurred. Without loss of generality, we shall ignore y dependence and focus on the 1-D profile
f (x) which can be readily extended to the 2-D analysis. Given a rectangle-function laser
pulse lasting for a period of T and the time delay of the ith pulse as i (relative to the perfect
timing determined by the repetition rate/frequency of the laser pulses, see Figure 18), the
deterministic blurred image due to wafer scanning is:

T  i
1
I i ( x,  i ) 
T  f ( x  V t )dt. (2-1)
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

Here we have not considered the stochastic blur effect yet since above formula is only for
one scan/exposure. Set:   V t , equation (2-1) can be written as:

V (T  i )
1
I i ( x, i ) 
VT Vi
 f ( x   )d. (2-2)

We define a “scanning pupil” function as below:

1 / VT (V i    V i  VT )
ps (  ,  i ) 
0 (   V i ,   V i  VT )
(2.3)

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
Principles, Integration and Challenges of Lithography Technology… 25

Figure 18. The ideal rectangle EUV laser signals (bottom) and the jittering (top) signals during a
multiple-scan exposure

Equation (2-2) can be expressed as a convolution:


I i ( x,  i )   f ( x   )  p (  ,

s i )d  f  p s . (2-4)

ns
The final image is the sum of all the individual images, i.e., I t ( x)   I ( x, 
i 1
i i )

wherein the time delay i is a random variable described by a probability density function
q( i ) that can be experimentally characterized. Strictly speaking, laser pulse intensity
amplitude and length T are also random variables, but here we treat them as constant
parameters to simplify our analysis. The expected total image intensity is

ns ns
I t ( x)  Eˆ [ I i ( x, i )]   Eˆ [ I i ( x, i )]  ns Eˆ [ I i ( x, i )] .
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

i 1 i 1

Here, the symbol Ê represents the statistical average (or expected function) and we have
assumed that each individual image has the same expected profile. It should be kept in mind
that the ith time delay i will simply shift the position of the corresponding individual
(blurred) image without changing its shape, i.e., I i ( x, i )  I i 0 ( x  V   i ), where I i 0 ( x) is
the blurred image with zero delay. Thus we can use the probability density function q( i ) to
rewrite the expected total intensity as

 
I t ( x)  ns Eˆ [ I i ( x, i )]  ns  I i 0 ( x  V   i ) q( i )d i  ns  I i 0 ( x  V   ) q( )d . (2-5)
 

Set: z  V  , then above equation becomes

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
26 Yijian Chen and Yashesh Shroff


I t ( x)  n s  I i 0 ( x  z ) q z ( z )dz  n s  I i 0  q z , (2-6)


where we define:

I i 0 ( x)  I i ( x,  0)  f  ps (  0)  f  ps 0 , q z ( z)  q( z / V ) / V .

Combining equation (2-4) and (2-6) yields:

I t ( x)  n s  f  p s 0  q z (2-7)

Using the convolution theorem, we obtain an important relation in the spectrum domain
fx:

I tF ( f x )  ns  F ( f x ) Ps 0 ( f x ) Qz ( f x ) (2-8)

F
Here, I t ( f x ), F ( f x ), Ps 0 ( f x ), Q z ( f x ) are the Fourier transform of I t , f , p s 0 , q z
respectively. The subscript “0” in p s 0 and Ps 0 represents the zero-delay function (   0 ).
The simple relation of (2-8) indicates the image blur (in maskless EUV lithography)
induced by both wafer scanning and laser jittering can be removed by spectral engineering of
EUV wave-front at the Fourier/spectrum plane. For example, if we can introduce an “inverse”
1
filter [80] on the Fourier plane of the image, M o ( f x )  , it will restore
Ps 0 ( f x )  Qz ( f x )
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

the original image by eliminating both deterministic blur caused by wafer scanning and the
statistically-averaged blur caused by the probability density function of the timing jitter. On
the other hand, the inverse filtering will suffer from the ill-defined singularity problem if the
spectrum of either zero-delay scanning pupil function or the probability density function has
zero points within the frequency window of interest. Also, if these two functions are small,
the noise can be significantly amplified resulting in a degraded signal-to-noise ratio; thus
other type of filters such as Wiener filter [80] may be considered.
Wave-front modulation in general will require an independent control of both phase and
amplitude of reflected EUV light at the Fourier plane, which is a non-trivial but achievable
engineering task. Further discussion on this topic can be found in other sections that describe
EUV phase-shifting mask and multilayer reflective optics. Moreover, it is valuable to study
the influence of scan times n s on the variance of the resist image‟s position shift. Normally
resist CD is measured at the threshold intensity (e.g., 30% of the open-field intensity). To
avoid numerically finding the threshold value of the total intensity in a multiple-scan
exposure while still being able to gain the physical insight of its statistical characteristics, we
assume that the shift of the final image (or the shift of a resist pattern) is the average of all the

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
Principles, Integration and Challenges of Lithography Technology… 27

ns
individual images‟ shift:  (V ) / n
i 1
i s . Therefore, the variance (symbol: ˆ ) of the resist
2

position‟s shift is given as:

ns
1 2 ns 1
ˆ [ (V i ) / ns ]  2 ˆ [V i ]  ˆ 2 [V i ]
2
(2-9)
i 1 ns i 1 ns

It is evident that the variance of multiple-scan random displacement is significantly


reduced (by a factor of 1 / ns ) from the one-scan case. However, the improved placement
accuracy and printing redundancy by increasing scan times directly results in a throughput
penalty. Moreover, the impact of image displacement on the process robustness also depends
on the proximity effects of surrounding features. Therefore, an optimal n s to maximize the
process yield must be rigorously searched with a stochastic simulation coupled imaging
model.
11-16 nm (half pitch) CMOS generation can be the possible insertion point for maskless
EUV lithography based on several observations. First, maskless technology is a difficult
challenge to lithographic infrastructure which will not be ready in the near future to meet the
demand of volume production. Secondly, both micromirror array and data handling issues
still need a long development time and the pressure of mask defects and costs will not be
severe until the EUV generation (11-16 nm half pitch). Moreover, assuming the pulse-to-
pulse timing jitter of a EUV laser to be 10 ns, a scan speed of 0.5 nm/ns (or 50 cm/s) will
cause an unacceptable random placement error of 5 nm. In order to increase the wafer‟s scan
speed for higher throughput, we need much tighter control of EUV laser‟s timing jitters.
To meet a scanning throughput of 1 cm 2 /sec (about 5 300-mm wafers/hour), the flash
rectangle height (on the wafer) needs to be 1/50 cm based on the 50 cm/s scan speed. If the
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

micromirror chip height is 2 cm, the demagnification will be 100. For the 16-nm CD or 8-nm
spot size (assuming a 2×2 micromirror array prints a 16-nm feature), a demagnification of
100 requires the mirror size to be 0.8 μm which is challenging but not impossible. Moreover,
for a 20 KHz laser, each flash needs to expose 1/20000 cm 2 area (assuming zero overlap
between the exposure areas of neighboring flashes), which requires the flash width of 1/400
cm (flash height is 1/50 cm as calculated before). In other words, a 2×0.25 cm 2 micromirror
array is needed. This case study is based on a one-array and one-scan operation, but the actual
redundant exposure scheme may need multiple exposures for each pixel with multiple arrays.
A typical chip that is manufactured by a foundry can contain about 10 such arrays. Since the
field size of EUV maskless lithography is much less than that of a conventional EUV system,
much lower cost and smaller footprint of a single writer (using one micromirror chip) will
allow us to pack many writers together in a system to meet the high-volume throughput
requirement of 100 wafers per hour.

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
28 Yijian Chen and Yashesh Shroff

10. IMAGING THEORY OF PROJECTION LITHOGRAPHY


In this section, we shall present the imaging theory of photon-based projection
lithography which includes both DUV and EUV lithography. Our goal is to focus on those
materials that are “directly” related to imaging optics, rather than the details of the
electromagnetism and wave propagation theory. The references [78-81] that we frequently
refer to and follow have been listed for the readers to study the topics of their interest.

10.1. Basic Principles of Electromagnetic Field and Geometrical Optics

The basic principles of projection lithography are described by Maxwell‟s equations [78,
79]:

b
e  -
t
d
h  j
t
  d  e
b  0

 e
j  0
t
jz e
d  z e
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

b  z h

Here, e : electric field, b : magnetic induction, h : magnetic field,


d : electric displacement,
j : electric current density,  e : electric charge density,  z : medium conductivity,  z :
medium permittivity,  z : magnetic permeability. As we shall see soon, a rigorous study of
EUV multilayer reflective optics needs to start from Maxwell‟s equations.
Another basic principle of geometrical optics is Snell‟s Law which states that for any
light ray travelling through different parts (such as lens and recording medium) of an imaging
system, its n  sin value remains the same:

n1  sin1  n2  sin 2  n3  sin  3  ...  ni  sin  i . (3-1)

n is the refractive index of the medium and θ is the incident angle to the normal axis. As
shown in Figure 19, the magnification of an optical imaging system can be described by the
ratio of the numerical aperture sustained from the center of objective (mask) plane (

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
Principles, Integration and Challenges of Lithography Technology… 29

NAo  no  sin o ) to the numerical aperture sustained from the image (wafer) plane (
NAi  NA  ni  sin i ):

NAo no  sin  o
M   . (3-2)
NA ni  sin  i

Figure 19. A simplified diagram representing a lithographic imaging system

no and ni are the refractive indexes of the media as indicated in Figure 19. In a scanner
system, the minimum resolvable feature size on the mask is 1/M times of the minimum
resolvable feature on the wafer which is usually described by:


Resolution(at wafer scale)  k1 . (3-3)
NA
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

k1 is a factor that include all optical and chemical effects except exposure wavelength and
NA, thus it is an important number to characterize the complexity of a lithographic process,
i.e., a lower k1 indicates a more complex lithographic process. We are mainly concerned
about the resolution capability on the wafer; therefore, NA is usually referred to the sine of
the maximum half-angle sustained from the wafer plane (NAi), instead of NAo at the
objective (mask) plane as described in some books. The magnification value, M, determines
the size of mask and is chosen to be 1/4 in many modern projection scanners due to the
manufacturing limit. According to equations (3-2) and (3-3), it is impossible to improve the
resolution capability of an imaging system by decreasing its magnification (i.e., higher
demagnification) without changing the numerical aperture.

10.2. Light Propagation and Spatial Coherence

There are two types of coherence involved in a light wave field: temporal coherence and
spatial coherence. Temporal coherence describes the relation between light waves at different
times but at the same point in space, while spatial coherence looks at the relation between

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
30 Yijian Chen and Yashesh Shroff

light waves at different points in space at the same time. If a light wave is an ideal
monochromatic (harmonic) wave, its length will be infinity and the wave is temporally
coherent. In a lithographic system, spatial coherence evolution of a wave-front during its
propagation is a more important issue. Unless specified otherwise, coherence of a wave field
in this chapter means “spatial coherence”. It should be kept in mind that unlike a “laser” (e.g.,
193 nm excimer laser with good spatial coherence) that is often mentioned in the lithographic
literature, the wave field on the surface of a scanner‟s light source is spatially incoherent. It
should also be distinguished from the spatially coherent light beams that are used in
interference lithography to create interferometric patterns.
The differential equation governing the light wave propagation can be derived from
Maxwell‟s equations. Both electric and magnetic fields are vectors and in general the vector
diffraction theory is needed to accurately describe the wave diffraction phenomena. However,
the scalar theory treats the wave disturbance at any position and time as a scalar function,
which significantly simplifies the analysis and is a good approximation when the diffracting
structures are large compared with the length of wave. The basic scalar wave equation that
describes the time-independent complex disturbance function U is the Helmholtz equation
[80]

( 2  k 2 )U  0 , (3-4)

where  is the Laplacian operator and k is the wave number ( 2 /  ,  is wavelength).


2

Calculation of the complex disturbance U(P) at a point P in space can be accomplished using
the well-known Green‟s theorem that can be found in most of mathematical physics textbooks
and will not be repeated here. Based on the Helmholtz equation, it can be proved that a plane
wave with its frequency higher than 1 /  (called evanescent wave) will decay rapidly over a
short distance thus is not recoverable by a conventional imaging system. Mathematical
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

expression of the Huygens-Fresnel principle according to the first Rayleigh-Sommerfeld


solution [80] is given below:

1 exp(ikR)
U ( P)  
i S
U (Q)
R
cos( )ds , (3-5)

where Q is an arbitrary point on the secondary wave surface S, R is the distance between point
Q and the target location P, and γ is the angle between the outward normal n at point Q and
the vector R joining P to Q. The effects of propagation angle cos( ) and imaginary number
1 /(i ) are often ignored as a first-order approximation, which means we only consider the
optical phase delay and amplitude decay over a distance R (required by the energy
conservation of secondary spherical wave durng its propagation).
According to the Huygens-Fresnel principle, every point of a wave-front may be
considered as a secondary disturbance source which causes spherical wavelets to propagate
downstream to form new wave-front later, i.e., the wave-front at a later time may be
constructed using the envelope of these wavelets. The intensity of light at any point Q is

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
Principles, Integration and Challenges of Lithography Technology… 31

defined to be the time-average (denoted as    ) of the light amplitude (absolute value), i.e.,
I ( Q )  u(Q,t )u * (Q,t )  where * is the notation for the conjugate of a complex
function.
Using an example as shown in Figure 20, two pinholes Q1 and Q2 are considered as the
centers of secondary disturbances and the complex disturbance at P of the downstream wave-
front may be calculated by

u( P, t )  k1u(Q1 , t  R1 /c)  k 2 u(Q2 , t  R2 /c)  k1u1 (t  R1 /c)  k 2 u 2 (t  R2 /c) ,

where R1 /c and R2 /c are the time delays needed for light to travel from Q1 and Q2 to P
respectively. The optical phase delay (exponential term) in the Huygens-Fresnel principle is
equivalent to the introduction of the time delays in above relation. Moreover, according to the
Huygens-Fresnel principle, the factors k1 (different from k1 in equation 3-3) and k 2 (different
from k2 in equation 3-45) are inversely proportional to R1 and R2 , and also depending on
the pinhole size and the incident angle of wave propagation at Q1 and Q2 . Using the
Kirchhoff‟s diffraction formula [79], k1 and k 2 are imaginary numbers and the light
intensity at P is

I ( P, t )  u ( P, t ) u * ( P, t )   k1k1*  u1 (t  R1/c) u1* (t  R1/c)  


k1k 2*  u1 (t  R1/c) u2* (t  R2 /c)   k 2 k1*  u2 (t  R2 /c) u1* (t  R1/c)   k 2 k 2*  u2 (t  R2 /c) u2* (t  R2 /c)  .

Since

 u1 (t  R1 /c) u1* (t  R1 /c)    u1 (t )u1* (t )   I (Q1 )


Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

and

 u 2 (t  R2 /c) u 2* (t  R2 /c)   I (Q2 ) ,

light intensity at P can be written as

R2  R1
I ( P, t )  | k1 | 2 I (Q1 ) | k 2 | 2 I (Q2 )  2 | k1k 2 | Re[  (Q1 , Q2 , )] .
c

Here, we define mutual coherence function as

 (Q1 , Q2 , )  u(Q1 , t   )u * (Q2 , t )  . (3-6)

If Q1 = Q2 , the mutual coherence function will be the auto-coherence which becomes


local light intensity if we further assume   0 . To describe the spatial coherence of light

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
32 Yijian Chen and Yashesh Shroff

field between two arbitrary points, e.g., Q1 and Q2 , a concept of (spatial) mutual intensity is
introduced below,

J (Q1 , Q2 )   (Q1 , Q2 ,0)  u(Q1 , t )u * (Q2 , t )  . (3-7)

If light from Q1 and Q2 is incoherent and do not interfere, their mutual intensity will be zero.
If Q1 = Q2 = Q, their (coherent) mutual intensity is equal to the light intensity at point Q.

Figure 20. Light propagation through two pin holes


Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

Figure 21. Propagation of mutual intensity of light from the light source to a surface

Based on a similar reasoning using the Huygens-Fresnel principle, wave vibrations at Q1


and Q2 may be traced back to the light source which is divided into many small elements as
shown in Figure 21. For example, the nth vibration u n (Q1 ,t ) is caused by the upstream
vibration “ v n ” emitted from light source at the time of t  r1,n /c . The field at any point of
the wave front (e.g., Q1 or Q2 ) is the superposition of small vibrations caused by all the
elements on the light source,

u (Q1 ,t )   u n (Q1 ,t ) , (3-8)


n

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
Principles, Integration and Challenges of Lithography Technology… 33

u (Q2 ,t )   u n (Q2 ,t ) . (3-9)


n

Here, u n (Q1 ,t ) and u n (Q2 ,t ) are defined to be the disturbance elements at Q1 and
Q2 respectively, both of which are caused by the same spatial point of the light source. In
general, these two vibrations can be traced back to the same spatial point of the source, but
not necessarily to the same (previous) time depending on their distances r1, n and r2 , n as
shown in Figure 21. The mutual intensity is then calculated as

J (Q1 , Q2 )  u (Q1 , t )u * (Q2 , t )     u n (Q1 , t )u n* (Q2 , t )     ui (Q1 , t )u *j (Q2 , t ) . (3-10)


n i j

It should be emphasized that any two points on the source are mutually incoherent (i.e.,
their phase and amplitude are both random variables) such that the second term in equation
(3-10) is zero. However, when wave-front propagates, it will gradually gain certain spatial
correlation (between different points of the downstream wave-front) due to the first term in
this equation. Therefore, the spatial coherence of a scanner‟s illumination system does not
originate from the coherence of light source (which is zero due to mutually incoherent source
assumed), but from the propagation of wave itself obeying the Huygens-Fresnel principle.
Next, we shall apply a single variable “ v n ” (light disturbance from the nth element of a light
source) to replace the downstream wave variables u n (Q1 ,t ) and u n (Q2 ,t ) , both of which
are emitted from the same source element at different times but reaching Q1 and Q2
simultaneously (at time t). Using a single variable “ v n ” to replace u n (Q1 ,t ) and u n (Q2 ,t )
is based on a hidden assumption that the coherent length of light is so long (e.g., for 193-nm
Copyright © 2010. Nova Science Publishers, Incorporated. All rights reserved.

laser, the coherence length is on the order of 0.15 m) that both light vibrations u n (Q1 ,t ) and
u n (Q2 ,t ) are induced by the same temporal wave train (a function of time) emitted from the
same source element (but in general at different times depending on their optical path). In
principle, if two vibrations are induced by different temporal wave trains (even from the same
spatial point of the light source), the same variable can not be used for them as temporal wave
trains emitted at different times do not have any correlation. Therefore, equations (3-8) and
(3-9) can be re-written as

u (Q1 , t )   u n (Q1 , t )   vn (t  r1,n /c) / r1,n ,


n n

u (Q2 , t )   u n (Q2 , t )   vn (t  r2,n /c) / r2,n .


n n

Here, the effect of wave propagation angle is ignored while the effect of wave decay over
a distance is included. For the purpose of simplicity, the “k” factors we previously used are
not explicitly shown in above two equations. The mutual intensity then becomes

CMOS Technology, edited by Min-jun Kwon, Nova Science Publishers, Incorporated, 2010. ProQuest Ebook Central,
http://ebookcentral.proquest.com/lib/scitechjo-ebooks/detail.action?docID=3020958.
Created from scitechjo-ebooks on 2023-11-23 13:14:57.
Another random document with
no related content on Scribd:
a sweet and clear voice, wherewith it daily sang the praises of God
in the highways of Eden.
This beautiful bird, thought Eblis, when he saw it, is surely vain, and
will listen to the voice of flattery.
Thereupon he addressed it as a stranger, beyond the hearing of
Ridhwan. “Most beautiful of all birds, do you belong to the denizens
of Paradise?”
“Certainly,” answered the peacock. “And who are you who look from
side to side in fear and trembling?”
“I belong to the Cherubim who praise God night and day, and I have
slipped out of their ranks without being observed, that I might take a
glimpse of the Paradise God has prepared for the saints. Will you
hide me under your feathers, and show me the garden?”
“How shall I do that which may draw down on me God’s disfavour?”
asked the peacock.
“Magnificent creature! take me with you. I will teach you three words
which will save you from sickness, old age, and death.”
“Must then the dwellers in Paradise die?”
“All, without exception, who know not these three words.”
“Is this the truth?”
“By God the Almighty it is so.”
The peacock believed the oath, for it could not suppose that a
creature would swear a false oath by its Creator. But, as it feared
that Ridhwan would search it on its return through the gates, it
hesitated to take Eblis with it, but promised to send the cunning
serpent out, who would certainly devise a means of introducing Eblis
into the garden.
The serpent was formerly queen of all creatures. She had a head
like rubies, and eyes like emeralds. Her height was that of a camel,
and the most beautiful colours adorned her skin, and her hair and
face were those of a beautiful maiden. She was fragrant as musk
and amber; her food was saffron; sweet hymns of praise were
uttered by her melodious tongues; she slept by the waters of the
heavenly river Kaulhar; she had been created a thousand years
before man, and was Eve’s favourite companion.
This beautiful and wise creature, thought the peacock, will desire
more even than myself to possess perpetual youth and health, and
will gladly admit the cherub for the sake of hearing the three words.
The bird was not mistaken; as soon as it had told the story, the
serpent exclaimed: “What! shall I grow old and die? Shall my
beautiful face become wrinkled, my eyes close, and my body
dissolve into dust? Never! rather will I brave Ridhwan’s anger and
introduce the cherub.”
The serpent accordingly glided out of the gates of Paradise, and
bade Eblis tell her what he had told the peacock.
“How shall I bring you unobserved into Paradise?” asked the
serpent.
“I will make myself so small that I can sit in the nick between your
front teeth,” answered the fallen angel.[69]
“But how then can I answer when Ridhwan addresses me?”
“Fear not. I will whisper holy names, at which Ridhwan will keep
silence.”
The serpent thereupon opened her mouth, Eblis flew in and seated
himself between her teeth, and by so doing poisoned them for all
eternity.
When she had passed Ridhwan in security, the serpent opened her
mouth and asked Eblis to take her with him to the highest heaven,
where she might behold the majesty of God.
Eblis answered that he was not ready to leave yet, but that he
desired to speak to Adam out of her mouth, and to this she
consented, fearing Ridhwan, and greatly desiring to hear and learn
the three salutary words. Having reached Eve’s tent, Eblis uttered a
deep sigh—it was the first that had been heard in Eden, and it was
caused by envy.
“Why are you so disquieted, gentle serpent?” asked Eve.
“I am troubled for Adam’s future,” answered the evil spirit, affecting
the voice of the serpent.
“What! have we not all that can be desired in this garden of God?”
“That is true; but the noblest fruit of the garden, the only one
securing to you perfect happiness, is denied to your lips.”
“Have we not abundance of fruit of every colour and flavour—only
one is forbidden?”
“And if you knew why that one is forbidden, you would find little
pleasure in tasting the others.”
“Do you know?”
“I do, and for that reason am I so cast down. This fruit alone gives
eternal youth and health, whereas all the others give weakness,
disease, old age and death, which is the cessation of life with all its
joys.”
“Why, dearest serpent, did you never tell me of this before? Whence
know you these things?”
“An angel told me this as I lay under the forbidden tree.”
“I must also see him,” said Eve, leaving her tent and going towards
the tree.
At this moment Eblis flew out of the serpent’s mouth, and stood in
human form beneath the tree.
“Who art thou, wondrous being, the like of whom I have not seen
before?” asked Eve.
“I am a man who have become an angel.”
“And how didst thou become an angel?”
“By eating of this fruit,” answered the tempter,—“this fruit which is
denied us through the envy of God. I dared to break His command
as I grew old and feeble, and my eyes waxed dim, my ears dull, and
my teeth fell out, so that I could neither speak plainly nor enjoy my
food; my hands shook, my feet tottered, my head was bent upon my
breast, my back was bowed, and I became so hideous that all the
beasts of the garden fled from me in fear. Then I sighed for death,
and hoping to find it in the fruit of this tree, I ate, and lo! instantly I
was young again; though a thousand years had elapsed since I was
made, they had fled with all their traces, and I enjoy perpetual health
and youth and beauty.”
“Do you speak the truth?” asked Eve.
“I swear by God who made me.”
Eve believed this oath, and broke a branch from the wheat-tree.
Before the Fall, wheat grew to a tree with leaves like emeralds. The
ears were red as rubies and the grains white as snow, sweet as
honey, and fragrant as musk. Eve ate one of the grains and found it
more delicious than anything she had hitherto tasted, so she gave a
second grain to Adam. Adam resisted at first, according to some
authorities for a whole hour, but an hour in Paradise was eighty
years of our earthly reckoning. But when he saw that Eve remained
well and cheerful, he yielded to her persuasions, and ate of the
second grain which Eve had offered him daily, three times a day,
during the hour of eighty years. Thereupon all Adam’s heaven-given
raiment fell from him, his crown slipped off his head, his rings
dropped from his fingers, his silken garment glided like water from
his shoulders, and he and Eve were naked and unadorned, and their
fallen garments reproached them with the words, “Great is your
misfortune; long will be your sorrows; we were created to adorn
those who serve God; farewell till the resurrection!”
The throne recoiled from them and exclaimed, “Depart from me, ye
disobedient ones!” The horse Meimun, which Adam sought to mount,
plunged and refused to allow him to touch it, saying, “How hast thou
kept God’s covenant?” All the inhabitants of Paradise turned their
backs on the pair, and prayed God to remove the man and the
woman from the midst of them.
God himself addressed Adam with a voice of thunder, saying, “Did
not I forbid thee to touch of this fruit, and caution thee against the
subtlety of thy foe, Eblis?” Adam and Eve tried to fly these
reproaches, but the branches of the tree Talh caught Adam, and Eve
entangled herself in her long hair.
“From the wrath of God there is no escape,” cried a voice from the
tree Talh; “obey the commandment of God.”
“Depart from Paradise,” then spake God, “thou Adam, thy wife, and
the animals which led you into sin. The earth shall be your abode; in
the sweat of thy brow shalt thou find food; the produce of earth shall
cause envy and contention; Eve (Hava) shall be afflicted with a
variety of strange affections, and shall bring forth offspring in pain.
The peacock shall lose its melodious voice, and the serpent its feet;
dark and noisome shall be the den in which the serpent shall dwell,
dust shall be its meat, and its destruction shall be a meritorious work.
Eblis shall be cast into the torments of hell.”
Our parents were then driven out of Paradise, and one leaf alone
was given to each, wherewith to hide their nakedness. Adam was
expelled through the gate of Repentance, that he might know that
through it alone could Paradise be regained; Eve was banished
through the gate of Grace; the peacock and the serpent through that
of Wrath, and Eblis through the gate of Damnation. Adam fell into the
island Serendib (Ceylon), Eve at Jedda, the Serpent into the desert
of Sahara, the Peacock into Persia, and Eblis into the river Eila.[70]
Tabari says that when the forbidden wheat had entered the belly of
Adam and Eve, all the skin came off, except from the ends of the
fingers. Now this skin had been pink and horny, so that they had
been invulnerable in Paradise, and they were left naked and with a
tender skin which could easily be lacerated; but, as often as Adam
and Eve looked on their fingernails, they remembered what skin they
had worn in Eden.[71]
Tabari also says that four trees pitying the shame of Adam and Eve,
the Peacock, and the Serpent, in being driven naked out of Paradise,
bowed their branches and gave each a leaf.
Certain Rabbis say that Adam ate only on compulsion, that he
refused, but Eve “took of the tree,”—that is, broke a branch and
“gave it him,” with the stick.
According to the Talmudic book, Emek Hammelech (f. 23, col. 3),
Eve, on eating the fruit, felt in herself the poison of Jezer hara, or
Original sin, and resolved that Adam should not be without it also;
she made him eat and then forced the fruit on the animals, that they
might all, without exception, fall under the same condemnation, and
become subject to death. But the bird Chol—that is, the Phœnix—
would not be deceived, but flew away and would not eat. And now
the Phœnix, says the Rabbi Joden after the Rabbi Simeon, lives a
thousand years, then shrivels up till it is the size of an egg, and then
from himself he emerges young and beautiful again.
We have seen what are the Asiatic myths relating to Adam and Eve;
let us now turn to Africa. In Egypt it was related that Osiris lived with
Isis his sister and wife in Nysa, or Paradise, which was situated in
Arabia. This Paradise was an island, surrounded by the stream
Triton, but it was also a steep mountain that could only be reached
on one side. It was adorned with beautiful flowers and trees laden
with pleasant fruits, watered by sweet streams, and in it dwelt the
deathless ones.
There Osiris found the vine, and Isis the wheat, to become the food
and drink of men. There they built a golden temple, and lived in
supreme happiness till the desire came on Osiris to discover the
water of Immortality, in seeking which he left Nysa, and was in the
end slain by Typhon.[72]
The following is a very curious negro tradition, taken down by Dr.
Tutschek from a native in Tumale, near the centre of Africa.
Til (God) made men and bade them live together in peace and
happiness, labour five days, and keep the sixth as a festival. They
were forbidden to hurt the beasts or reptiles. They themselves were
deathless, but the animals suffered death. The frog was accursed by
God, because when He was making the animals it hopped over His
foot. Then God ordered the men to build mountains: they did so, but
they soon forgot God’s commands, killed the beasts and quarrelled
with one another. Wherefore Til (God) sent fire and destroyed them,
but saved one of the race, named Musikdegen, alive. Then Til began
to re-create beings. He stood before a wood and called, Ombo
Abnatum Dgu! and there came out a gazelle and licked His feet. So
He said, Stand up, Gazelle! and when it stood up, its beast-form
disappeared, and it was a beautiful maiden, and He called her
Mariam. He blessed her, and she bore four children, a white pair and
a black pair. When they were grown up, God ordered them to marry,
the white together, and the black together. In Dai, the story goes that
Til cut out both Mariam’s knee-caps, and of each He made a pair of
children. Those which were white He sent north; those which were
black He gave possession of the land where they were born.
God then made the animals subject to death, but the men He made
were immortal. But the new created men became disobedient, as
had the first creatures; and the frog complained to Him of His
injustice in having made the harmless animals subject to death, but
guilty man deathless. “Thou art right,” answered Til, and He cast on
the men He had made, old age, sickness, and death.[73]
The Fantis relate that they are not in the same condition as that in
which they were made, for their first parents had been placed in a
lofty and more suitable country, but God drave them into an inferior
habitation, that they might learn humility. On the Gold Coast the
reason of the Fall is said to have been that the first men were offered
the choice of gold or of wisdom, and they chose the former.[74]
In Ashantee the story is thus told. In the beginning, God created
three white and three black men and women, and gave them the
choice between good and evil. A great calabash was placed on the
earth, as also a sealed paper, and God gave the black men the first
choice. They took the calabash, thinking it contained everything, and
in it were only a lump of gold, a bar of iron, and some other metals.
The white men took the sealed paper, in which they learned
everything. So God left the black men in the bush and took the white
men to the sea, and He taught them how to build ships and go into
another land. This fall from God caused the black men to worship the
subsidiary Fetishes instead of Him.[75]
In Greenland “the first man is said to have been Kallak. He came out
of the earth, but his wife issued from his thumb, and from them all
generations of men have sprung. To him many attribute the origin of
all things. The woman brought death into the world, in that she said,
Let us die to make room for our successors.”[76]
The tradition of the Dog-rib Indians near the Polar Sea, as related by
Sir J. Franklin in his account of his expedition of 1825-27, is that the
first man was called Tschäpiwih. He found the earth filled with
abundance of all good things. He begat children and he gave to
them two sorts of fruit, one white and the other black, and he bade
them eat the white, but eschew the black. And having given them
this command, he left them and went a long journey to fetch the sun
to enlighten the world. During his absence they ate only of the white
fruit, and then the father made a second journey to fetch the moon,
leaving them well provided with fruit. But after a while they forgot his
command, and consumed the black fruit. On his return he was angry,
and cursed the ground that it should thenceforth produce only the
black fruit, and that with it should come in sickness and death.
Dr. Hunter, in his “Memoirs of Captivity amongst the Indians,” says
that the Delawares believe that in the beginning the Red men had
short tails, but they blasphemed the Great Spirit, and in punishment
for their sin their tails were cut off and transformed into women, to be
their perpetual worry. The same story is told by Mr. Atherne Jones,
as heard by him among the Kikapoos.
The ancient Mexicans had a myth of Xolotl, making out of a man’s
bone the primeval mother in the heavenly Paradise; and he called
the woman he had made Cihuacouhatl, which means “The woman
with the serpent,” or Quilatzli, which means “The woman of our
flesh.” She was the mother of twins, and is represented in a Mexican
hieroglyph as speaking with the serpent, whilst behind her stand the
twins, whose different characters are represented by different
colours, one of whom is represented slaying the other.[77] Xolotl, who
made her out of a bone, was cast out of heaven and became the first
man. That the Mexicans had other traditions, now lost, touching this
matter is probable, for they had a form of baptism for children in
which they prayed that those baptized might be washed from “the
original sin committed before the founding of the world.” And this had
to do, in all probability, with a legend akin to that of the Iroquois, who
told of the primeval mother falling, and then of the earth being built
up to receive her, when precipitated out of heaven.
The Caribs of South America relate that Luoguo, the first man and
god, created the earth and the sea, and made the earth as fair as the
beautiful garden in the heaven where dwell the gods. Luoguo dwelt
among the men he had made for some while. He drew the men out
of his navel and out of his thigh which he cut open. One of the first
men was Racumon, who was transformed into a great serpent with a
human head, and he lived twined round a great Cabatas tree and ate
of its fruit, and gave to those who passed by. Then the Caribs lived
to a great age, and never waxed old or died. Afterwards they found a
garden planted with manioc, and on that they fed. But they became
wicked, and a flood came and swept them away.[78]
In the South Sea Islands we find other traditions of the Fall. In Alea,
one of the Caroline Islands, the tale runs thus:—
“The sister of Eliulap the first man, who was also a god, felt herself in
labour, so she descended to earth and there brought forth three
children. To her astonishment she found the earth barren; therefore,
by her mighty word, she clothed it with herbage and peopled it with
beasts and birds. And the world became very beautiful, and her sons
were happy and did not feel sickness or death, but at the close of
every month fell into a slumber from which they awoke renewed in
strength and beauty. But Erigeres, the bad spirit, envied this
happiness, so he came to the world and introduced into it pain, age,
and death.”[79]
With the Jewish additions to the story given in Genesis, we shall
conclude.
The godless Sammael had made an alliance with all the chiefs of his
host against the Lord, because that the holy and ever blessed Lord
had said to Adam and Eve, “Have dominion over the fish of the sea,”
&c.; and he said, “How can I make man to sin and drive him out?”
Then he went down to earth with all his host, and he sought for a
companion like to himself; he chose the serpent, which was in size
like a camel, and he seated himself on its back and rode up to the
woman, and said to her, “Hath God said, Ye shall not eat of every
tree of the garden?” And he thought, “I will ask more presently.” Then
she answered, “He has only forbidden me the fruit of the Tree of
Knowledge which is in the midst of the garden. And He said, ‘In the
day thou touchest it thou shalt die.’” She added two words; God did
not say anything to her about touching it, and she spoke of the fruit,
whereas God said the Tree.
Then the godless one, Sammael, went up to the tree and touched it.
But the tree cried out, “Let not the foot of pride come against me,
and let not the hand of the ungodly cast me down! Touch me not,
thou godless one!” Then Sammael called to the woman, and said,
“See, I have touched the tree and am not dead. Do you also touch it
and try.” But when Eve drew near to the tree she saw the Angel of
Death waiting sword in hand, and she said in her heart, “Perhaps I
am to die, and then God will create another wife for Adam; that shall
not be, he must die too.” So she gave him of the fruit. And when he
took it and bit, his teeth were blunted, and thus it is that the back
teeth of men are no longer sharp.[80]
V.
ADAM AND EVE AFTER THE FALL.

When Adam reached the earth, the Eagle said to the Whale, with
whom it had hitherto lived in the closest intimacy, “Now we must part,
for there is no safety for us animals since man has come amongst
us. The deepest abysses of ocean must be thy refuge, and thou
must protect thyself with cunning from the great foe who has entered
the earth. I must soar high above the clouds, and there find a place
of escape from him who is destined to be my pursuer till death.”[81]
According to certain cabbalistic Rabbis, Adam, when cast out of
Eden, was precipitated into Gehenna, but he escaped therefrom to
earth, by repeating and pronouncing properly the mystic word
Laverererareri.[82] In the Talmud it is related that when Adam heard
the words of God, “Thou shalt eat the herb of the field” (Gen. iii. 18),
he trembled in all his limbs, and exclaimed, “O Lord of all the world! I
and my beast, the Ass, shall have to eat out of the same manger!”
But God said to him, because he trembled, “Thou shalt eat bread in
the sweat of thy brow.”[83]
Learned Rabbis assert that the angel Raphael had instructed Adam
in all kinds of knowledge out of a book, and this book contained
mighty mysteries which the highest angels could not fathom, and
knew not; and before the Fall the angels used to assemble in
crowds, and listen to Adam instructing them in hidden wisdom. In
that book were seventy-two parts and six hundred and seventy
writings, and all this was known; but from the middle of the book to
the end were the one thousand five hundred hidden secrets of
Wisdom, and these Adam began to reveal to the angels till he was
arrested by the angel Haddarniel. This book Adam preserved and
read in daily; but when he had sinned, it fled out of his hands and
flew away, and he went into the river Gihon up to his neck, and the
water washed the glory wherewith he had shone in Paradise from off
his body. But God was merciful, and He restored to him the book by
the hands of Raphael, and he left it to his son Seth, and Enoch and
Abraham read in this book.[84]
Along with the book Adam retained the rod which God had created
at the close of the Sabbath, between sun and sun; i.e. between
nightfall and daybreak, so says the Rabbi Levi. Adam left it to Enoch,
and Enoch gave it to Noah, and Noah gave it to Shem, and Shem to
Abraham, and Abraham delivered it to Isaac, and Isaac gave it to
Jacob; Jacob brought the staff with him to Egypt, and gave it to his
son Joseph. Now when Joseph died, his house was plundered by
the Egyptians, and all his effects were taken into Pharaoh’s house.
Jethro was a mighty magician, and when he saw the staff of Adam
and read the writing thereon, he went forth into Edom and planted it
in his garden. And Jethro would allow none to touch it; but when he
saw Moses he said, “This is he who will deliver Israel out of Egypt.”
Wherefore he gave him his daughter Zipporah and the staff. But the
book Midrash Vajoscha relates this rather differently, in the words of
Moses himself: “After I had become great I went out, and seeing an
Egyptian illtreat a Hebrew man of my brethren, I slew him and buried
him in the sand. But when Pharaoh heard this he sought to slay me,
and brought a sharp sword the like of which was not in the world;
and therewith I was ten times smitten on my neck. But the Holy God
wrought a miracle, for my neck became as hard as a marble pillar, so
that the sword had no power over me. And I was forty years old
when I fled out of Egypt; and I came to Jethro’s house and stood by
the well and found Zipporah his daughter; and when I saw her, I was
pleased with her, and asked her to marry me. Then she related to
me her father’s custom, and it was this. ‘My father proves every
suitor for my hand by a tree which is in his garden; and when he
comes to the tree, the tree clasps him in its branches.’ Then I asked
her where such a tree was, and she answered me, ‘This is the staff
which God created on the eve of the Sabbath, which was handed
down from Adam to Joseph; but Jethro saw the staff at the
plundering of Joseph’s house, and he took it away with him from
Pharaoh’s palace and brought it here. This is the staff on which is cut
the Schem hammphorasch and the ten plagues that are in store for
Egypt, and these are indicated by ten letters on the staff, and they
stand thus: dam, blood; zephardeim, frogs; kinnim, lice; arof, various
insects; defer, murrain; schechim, blain; barad, hail; arbeh, locusts;
choschech, darkness; and bechor, first born:—these will be the
plagues of Egypt. This staff was for many days and years in my
father’s house, till he one day took it in his hand and stuck it into the
earth in the garden; and then it sprouted and bloomed and brought
forth almonds, and when he saw that, he proved every one who
sought one of his daughters by that tree.’” These are the words of
the Book Midrash Vajoscha, and thereby may be seen that the staff
of Adam was of almond wood; but Yalkut Chadasch, under the title
“Adam,” says that the staff was of the wood of the Tree of the
Knowledge of Good and Evil.[85]
When Adam and Eve were driven out of the garden, says the
Talmud, they wandered disconsolate over the face of the earth. And
the sun began to decline, and they looked with fear at the diminution
of the light, and felt a horror like death steal over their hearts.
And the light of heaven grew paler, and the wretched ones clasped
one another in an agony of despair.
Then all grew dark.
And the luckless ones fell on the earth, silent, and thought that God
had withdrawn from them the light for ever; and they spent the night
in tears.
But a beam of light began to rise over the eastern hills, after many
hours of darkness, and the clouds blushed crimson, and the golden
sun came back, and dried the tears of Adam and Eve; and then they
greeted it with cries of gladness, and said, “Heaviness may endure
for a night, but joy cometh in the morning; this is a law that God has
laid upon nature.”[86]
Among the Manichean myths prevalent among the Albigenses, was
one preserved to us by the troubadour Pierre de Saint-Cloud. When
Adam was driven out of Paradise, God in mercy gave him a
miraculous rod, which possessed creative powers, so that he had
only to strike the sea with it and it would forthwith produce the beast
he might require.
Adam struck the sea, and there rose from it the sheep; then Eve took
the staff and smote the water, and from it sprang the wolf, which fell
on the sheep and carried it off into the wood. Then Adam took back
the staff, and with it called forth the dog to hunt the wolf and recover
the sheep.
According to the Mussulman tradition, Adam’s beard grew after he
had fallen, and it was the result of his excessive grief and penitence:
how this affected his chin is not explained, the fact only is thus boldly
stated. He was sorely abashed at his beard, but a voice from heaven
called to him, saying, “The beard is man’s ornament on earth; it
distinguishes him from the feeble woman.” Adam shed so many
tears that all birds and beasts drank of them, and flowing into the
earth they produced the fragrant plants and gum-bearing trees, for
they were still endued with the strength and virtue of the food of
Paradise.
But the tears of Eve were transformed into pearls where they
dribbled into the sea, and into beautiful flowers where they sank into
the soil.
Both wailed so loud that Eve’s cry reached Adam on the West wind,
and Adam’s cry was borne to Eve on the wings of the East wind. And
when Eve heard the well-known voice she clasped her hands above
her head, and women to this day thus testify their sorrow; and Adam,
when the voice of the weeping of Eve sounded in his ears, put his
right hand beneath his beard,—thus do men to this day give
evidence of their mourning. And the tears pouring out of Adam’s
eyes formed the two rivers Tigris and Euphrates. All nature wept with
him; every bird and beast hastened to him to mingle their tears with
his, but the locust was the first to arrive, for it was made of the
superfluous earth which had been gathered for the creation of Adam.
There are seven thousand kinds of locusts or grasshoppers, of all
colours and sizes, up to the dimensions of an eagle; and they have a
king to whom God addresses His commands when He would punish
a rebellious nation such as that of Egypt. The black character
imprinted on the locust’s wing is Hebrew, and it signifies, “God is
One; He overcometh the mighty; the locusts are a portion of His
army which He sends against the wicked.” As all nature thus wailed
and lamented, from the invisible insect to the angel who upholds the
world, God sent Gabriel with the words which were in after-time to
save Jonah in the whale’s belly, “There is no God but Thou; pardon
me for Mohammed’s sake, that great and last prophet, whose name
is engraved on Thy throne.”
When Adam had uttered these words with penitent heart, the gates
of heaven opened, and Gabriel cried out, “God has accepted thy
penitence, Adam! pray to Him alone, He will give thee what thou
desirest, even the return to Paradise, after a certain time.”
Adam prayed, “Lord, protect me from the further malice of my enemy
Eblis.”
“Speak the word, There is no God but God; that wounds him like a
poisoned arrow.”
“Lord, will not the meat and drink provided by this earth lead me into
sin?”
“Drink water, and eat only clean beasts which have been slain in the
name of Allah, and build mosques where you dwell, so will Eblis
have no power over you.”
“But if he torment me at night with evil thoughts and dreams?”
“Then rise from thy couch and pray.”
“Lord, how shall I be able to distinguish between good and evil?”
“My guidance will be with thee; and two angels will dwell in thy heart,
who shall warn thee against evil and encourage thee to good.”
“Lord, assure me Thy grace against sin.”
“That can only be obtained by good works. But this I promise thee,
evil shall be punished one-fold, good shall be rewarded tenfold.”
In the meanwhile the angel Michael had been sent to Eve to
announce to her God’s mercy. When Eve saw him, she exclaimed,
“O great and almighty Archangel of God, with what weapon shall I,
poor frail creature, fight against sin?”
“God,” answered the Angel, “has given me for thee, the most potent
weapon of modesty; that, as man is armed with faith, so mayest thou
be armed with shamefacedness, therewith to conquer thy passions.”
“And what will protect me against the strength of man, so much more
robust and vigorous than I, in mind and in body?”
“Love and compassion,” answered Michael. “I have placed these in
the deepest recesses of his heart, as mighty advocates within him to
plead for thee.”
“And will God give me no further gift?”
“For the pangs of maternity thou shalt feel, this shall be thine, death
in child-bearing shall be reckoned in heaven as a death of
martyrdom.”[87]
Eblis, seeing the mercy shown to Adam and Eve, ventured to entreat
God’s grace for himself, and obtained that he should not be
enchained in the place of torment till the day of the general
Resurrection, and that he should exercise sovereignty over the
wicked and all those who should reject God’s Word in this life.
“And where shall I dwell till the consummation of all things?” he
asked of Allah.
“In ruined buildings, and in tombs, and in dens and caves of the
mountains.”
“And what shall be my nourishment?”
“All beasts slain in the name of false gods and idols.”
“And how shall I slake my thirst?”
“In wine and other spirituous liquors.”
“And how shall I occupy myself in hours of idleness?”
“In music, dancing, and song.”
“What is the word of my sentence?”
“The curse of God till the Judgment-day.”
“And how shall I fight against those men who have received Thy
revelation, and are protected by the two angels?”
“Thy offspring shall be more numerous than theirs: to every man
born into this world, there will be born seven evil spirits, who,
however, will be powerless to injure true Believers.”
God then made a covenant with Adam’s successors; He rubbed
Adam’s back, and lo! from out of his back crawled all generations of
men that were to be born, about the size of ants, and they ranged
themselves on the left and on the right. At the head of those on the
right stood Mohammed, then the other prophets and the faithful,
distinguished from those on the left by their white and dazzling
splendour. Those on the left were headed by Kabil (Cain).
God then acquainted Adam with the names and fate of all his
posterity; and when the recital arrived at David, to whom God had
allotted only thirty years, Adam asked God, “How many years are
accorded to me?”
Allah replied, “One thousand.”
Then said Adam, “I make a present to David of seventy years out of
my life.” God consented; and knowing the shortness of Adam’s
memory, at all events in matters concerning himself inconveniently,
He made the angels bring a formal document of resignation
engrossed on parchment, and required Adam to subscribe thereto
his name, and Michael and Gabriel to countersign it as witnesses.
A very similar tradition was held by the Jews, for in Midrash Jalkut
(fol. 12) it is said: God showed Adam all future generations of men,
with their captains, learned and literary men. Then he saw that David
was provided with only three hours of life, and he said, “Lord and
Creator of the world, is this unalterable?” “Such was my first
intention,” was the reply.
“How many years have I to live?”
“A thousand.”
“And is there such a thing known in heaven as making presents?”
“Most certainly.”
“Then I present seventy years of my life to David.”
And what did Adam next perform? He drew up a legal document of
transfer, and sealed it with his own seal, and God and Metatron did
likewise.
To return to the Mussulman legend.
When all the posterity of Adam were assembled, God exclaimed to
them, “Acknowledge that I am the only God, and that Mohammed is
my prophet.” The company on the right eagerly made this
acknowledgment; those, however, on the left long hesitated,—some
said only the former portion of the sentence, and others did not open
their mouths.
“The disobedient,” said Allah to Adam, “shall, if they remain
obstinate, be cast into hell, but the true believers shall be received
into Paradise.”
“So be it,” replied Adam. And thus shall it be at the end of the world.
After the covenant, Allah rubbed Adam’s back once more, and all his
little posterity retreated into it again.
When now God withdrew His presence from Adam’s sight for the
remainder of our first parents’ life, Adam uttered such a loud and
bitter cry that the whole earth quaked.
The All-merciful was filled with compassion, and bade him follow a
cloud which would conduct him to a spot where he would be directly
opposite His throne, and there he was to build a temple.
“Go about this temple,” said Allah, “and I am as near to you as to the
angels who surround my throne.” Adam, who was still the size that
God had created him, easily strode from Ceylon to Mecca after the
cloud, which stood over the place where he was to build. On Mount
Arafa, near Mecca, to his great delight, he found Eve again, and
from this circumstance the mountain takes its name (from Arafa, to
recognize, to know again). They both began to build, and erected a
temple having four doors—one was called Adam’s door, another
Abraham’s door, the third Ishmael’s door, and the fourth
Mohammed’s door. The plan of the temple was furnished by Gabriel,
who also contributed a precious stone, but this stone afterwards,
through the sin of men, turned black. This black stone is the most
sacred Kaaba, and it was originally an angel, whose duty it had been
to guard the Wheat-Tree of the knowledge of good and evil, and to
warn off Adam should he approach it. But through his inattention the
design of God was frustrated, and in punishment he was
transformed into a stone, and he will not be released from his
transformation till the Last Day.
Gabriel taught Adam also all the ceremonies of the great pilgrimage.
Adam now returned with his wife to India, and lived there till he died,
but every year he made a pilgrimage to Mecca, till he lost his
primitive size, and retained only the height of sixty ells.
The cause of his diminution in height was his horror and dismay at
the murder of Abel, which made him shrink into himself, and he was
never afterwards able to stretch himself out again to his pristine
dimensions.[88]
The Book of the Penitence of Adam is a curious apocryphal work of
Syriac origin; I give an outline of its contents.
God planted, on the third day, the Terrestrial Paradise; it is bounded
on the east by the ocean in which, at the Last Day, the elect will
wash away all those sins which have not as yet been purged away
by repentance.
On leaving this garden of delights, Adam turned to take of it one last
look. He saw that the Tree which had caused his fall was cursed and
had withered away.
He was much surprised when night overtook him, for in Paradise he
had not known darkness. As he went along his way, shedding tears,
he overtook the serpent gliding over the ground, and licking the dust.
That serpent he had last seen on four feet, very beautiful, with the
hair of a young maiden, enamelled with brilliant colours. Now it was
vile, hideous, and grovelling. The beasts which, before the Fall, had
coveted its society, fled from it now with loathing.
Filled with rage at the sight of Adam and Eve, to whom it attributed
its present degradation, the serpent flew at them and prostrated
them. Thereupon God removed from it its sole remaining possession
—the gift of speech, and it was left only its hiss of rage and shame.
Adam soon felt exhaustion, heat, fear and pain;—afflictions he had
not known in Eden. As the shadows of night fell, an intense horror
overwhelmed the guilty pair; they trembled in every limb and cried to
God. The Almighty, in compassion, consoled them by announcing to
them that day would return after twelve hours of night. They were
relieved by this promise, and they spent the first night in prayer.
But Satan, who never lost sight of them, fearing lest their prayers
should wholly appease the divine justice, assembled his host of evil
angels, surrounded himself with a brilliant light, and stood at the
entrance of the cave where the banished ones prayed. He hoped
that Adam would mistake him for God, and prostrate himself before
him.
But Adam said to Eve: “Observe this great light and this multitude of
spirits. If it were God who sent them, they would enter and tell us
their message.” Adam did not know then that Satan cannot approach
those who pray. Then Adam addressed himself to God and said, “O
my God! is there another God but Thou, who can create angels and
send them to us? Lord, deign to instruct us!”
Then a heavenly angel entered the cavern and said, “Adam, fear not
those whom you see; it is Satan and his host. He sought to seduce
you again to your fall.”
Having thus spoken, the angel fell upon Satan and tore from off him
his disguise, and exposed him in his hideous nakedness to Adam
and Eve. And to console them for this trial, God sent Adam gold
rings, incense and myrrh, and said to him, “Preserve these things,
and they will give you at night light and fragrance; and when I shall
come down on earth to save you, clothed in human flesh, kings shall
bring me these three tokens.”
It is because of this present that the cavern into which Adam and
Eve retreated has been called the Treasure-cave.

You might also like