Professional Documents
Culture Documents
Ram
Ram
Ram
(
input i_Clk,
input [$clog2(DEPTH)-1:0] i_Addr,
input i_Wr_DV,
input [WIDTH-1:0] i_Wr_Data,
input i_Rd_En,
output reg o_Rd_DV,
output reg [WIDTH-1:0] o_Rd_Data
);
endmodule
localparam DEPTH = 4;
localparam WIDTH = 8;
initial
begin
repeat(DEPTH)
begin
r_Rd_En <= 1'b1;
@(posedge r_Clk);
r_Addr <= r_Addr + 1;
end
r_Rd_En <= 0'b1;
$finish();
end
endmodule