Sampath Kumar R

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 2

SAMPATH KUMAR R

Design and Verification Engineer

CAREER OBJECTIVE
CONTACT Looking forward to building a career in VLSI domain.
919980409429 Possessing a good knowledge in Design & Verification.
Focused on continuous improvement & learning. Ready to
sampatrajanna7952@gmail.com
learn and adapt new and relevant trends from the industry.
https://www.linkedin.com/in/sa
mpath-kumar-r-436604254
PROFESSIONAL TRAINING
Advanced VLSI design and verification course from Maven
EDUCATION Silicon VLSI Design and Training Center, Bangalore.

Government Engineering College,


Hassan. |BE(EEE)
VLSI DOMAIN SKILLS
2019-2023 (CGFA=7.61) HDL: Verilog
HVL: System Verilog
Vishwa Manava Comp PU Col,
Verification Methodologies: Constraint Random Coverage
Seebara-Guttinadu, Chitradurga.
|PUC 2017-2019 (73%) Driven Verification Assertion Based Verification-SVA
Vishwa Manava Res High School, TB Methodology: UVM
Seebara-Guttinadu, Chitradurga. Protocols: AHB, APB
|SSLC 2016-2017 (83.68%) Domain: ASIC/FPGA Front-end Design and Verification
Operating System: Linux
EDA TOOLS Core skill: RTL Coding using synthesiable constructs of
verilog, code coverage, Functional coverage, synthesis,
Questa sim static timing analysis.
VCS Programming Languages: Good knowledge of OOPs
concept, class, Inheritance, Polymorphism, Digital
Model sim
Electronics, Verilog, system verilog, UVM, Linux.

TECHNICAL SKILLS
DESIGN SKILLS
Digital Electronics Digital Electronics: Combinational and Sequential circuits, FSM,
Memories, CMOS implementation, Stick diagram.
Verilog Verilog Programming: Data types, Operators, Processes, BA &
System Verilog NBA, Delays in Verilog, begin - end & fork join blocks, looping &
branching construct, System tasks & Functions, compiler
Universal Verification directives, FSM coding, Synthesis issues, Races in simulation,
Methodology pipelining RTL & TB Coding.

Linux
PROJECT VERFICATIONS SKILLS
1.ROUTER 1X3 - RTL DESIGN AND Memories: Dynamic array, Queue, Associative array,
VERIFICATION Task & Function-Pass by reference.
HDL: Verilog Interface: Modport and clocking block.
HVL: System Verilog Basic and advanced object-oriented programming:
TB Methodology: UVM Handle assignments, Copying the object contents,
EDA Tools : Questasim and ISE Inheritance, polymorphism, static properties and
Description : The router accepts methods, virtual classes and parameterized classes.
data packets on a single 8-bit Constraint Randomization: constraint overriding and
port and routes them to one of inheritance, Distribution and conditional constraints,
the three output channels, Soft, static and inline constraint.
channel0, channel1, channel2. Thread synchronization techniques: events,
2. AHB2APB Bridge IP Core semaphores and Mailbox-built-in methods.
Verification Functional coverage: Cover groups, bins and cross-
HDL:Verilog coverage, CRCDV and regression testing.
HVL: System Verilog System Verilog Assertions: Types of assertions,
TB Methodology: UVM assertion building blocks, sequences with edge
EDA Tools: Riviera Pro -Aldec definitions and logical relationship. Sequences with
Description: The AHB to APB different timing relationships. clock definitions,
bridge is an AHB slave which implication and repetition operators, different sequence
works as an interface between compositions, inline and binding assertions, advanced
the SVA Features and assertion coverage.
high speed AHB and the low UVM: UVM Objects & Components, UVM Factory &
performance APB buses. overriding methods, Stimulus Modelling, UVM Phases,
UVM Configuration, TLM UVM Sequence, virtual
ACADEMIC PROJECT sequence & sequencer.
POWER SAVER FOR INDUSTRIES AND
COMMERCIAL ESTABLISHMENT

STRENGTHS

Hardworking DECLARATION
Self learner
I hereby declare that the above- mentioned information is
Creativity correct up to the best of my knowledge and I bear the
responsibility for the corrections of above mentioned
LANGUAGES particulates.

Kannada
DATE:
English SAMPATH KUMAR R
PLACE:

You might also like