(Download pdf) Fundamentals Of Digital Logic With Vhdl Design 4Th Edition Brown full chapter pdf docx

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 69

Fundamentals of Digital Logic with

VHDL Design 4th Edition Brown


Visit to download the full and correct content document:
https://ebookmass.com/product/fundamentals-of-digital-logic-with-vhdl-design-4th-edit
ion-brown/
More products digital (pdf, epub, mobi) instant
download maybe you interests ...

Fundamentals of Digital Logic with VHDL Design 4th


Edition Stephen Brown

https://ebookmass.com/product/fundamentals-of-digital-logic-with-
vhdl-design-4th-edition-stephen-brown/

Digital System Design with FPGA: Implementation Using


Verilog and VHDL 1st Edition Unsalan

https://ebookmass.com/product/digital-system-design-with-fpga-
implementation-using-verilog-and-vhdl-1st-edition-unsalan/

Digital Logic & Microprocessor Design With Interfacing,


2nd Edition Enoch O. Hwang

https://ebookmass.com/product/digital-logic-microprocessor-
design-with-interfacing-2nd-edition-enoch-o-hwang/

Digital Systems Design Using VHDL 3rd Edition Charles


H. Roth

https://ebookmass.com/product/digital-systems-design-using-
vhdl-3rd-edition-charles-h-roth/
Digital Systems Design Using VHDL 3rd Edition Charles
H. Roth

https://ebookmass.com/product/digital-systems-design-using-
vhdl-3rd-edition-charles-h-roth-2/

(eBook PDF) Fundamentals of Logic Design, Enhanced


Edition 7th Edition

https://ebookmass.com/product/ebook-pdf-fundamentals-of-logic-
design-enhanced-edition-7th-edition/

Fundamentals of Logic Design, Enhanced Edition Jr.


Charles H. Roth

https://ebookmass.com/product/fundamentals-of-logic-design-
enhanced-edition-jr-charles-h-roth/

Digital Design: With an Introduction to the Verilog


HDL, VHDL, and SystemVerilog, 6/e Sixth Edition, Global
Edition Ciletti

https://ebookmass.com/product/digital-design-with-an-
introduction-to-the-verilog-hdl-vhdl-and-systemverilog-6-e-sixth-
edition-global-edition-ciletti/

Starting Out With Programming Logic and Design, 6e 6th


Edition Tony Gaddis

https://ebookmass.com/product/starting-out-with-programming-
logic-and-design-6e-6th-edition-tony-gaddis/
FUNDAMENTALS page i

OF
DIGITAL LOGIC WITH VHDL
DESIGN

FOURTH EDITION

Stephen Brown and Zvonko


Vranesic
Department of Electrical and Computer
Engineering
University of Toronto
page ii

FUNDAMENTALS OF DIGITAL LOGIC WITH VHDL DESIGN

Published by McGraw Hill LLC, 1325 Avenue of the Americas, New


York, NY 10019. Copyright c © 2023 by McGraw Hill LLC. All rights
reserved. Printed in the United States of America. No part of this
publication may be reproduced or distributed in any form or by any
means, or stored in a database or retrieval system, without the prior
written consent of McGraw Hill LLC, including, but not limited to, in
any network or other electronic storage or transmission, or
broadcast for distance learning.

Some ancillaries, including electronic and print components, may not


be available to customers outside the United States.

This book is printed on acid-free paper.

1 2 3 4 5 6 7 8 9 LCR 27 26 25 24 23 22

ISBN 978-1-260-59778-3
MHID 1-260-59778-4

Cover Image: View Stock/Getty Images


All credits appearing on page or at the end of the book are
considered to be an extension of the copyright page.

The Internet addresses listed in the text were accurate at the time of
publication. The inclusion of a website does not indicate an
endorsement by the authors or McGraw Hill LLC, and McGraw Hill
LLC does not guarantee the accuracy of the information presented at
these sites.

mheducation.com/highered
To Susan and Anne page iii

page iv
ABOUT THE AUTHORS page v

Stephen Brown received the Ph.D. and M.A.Sc. degrees in


Electrical Engineering from the University of Toronto, and his B.A.Sc.
degree in Electrical Engineering from the University of New
Brunswick. He joined the University of Toronto faculty in 1992,
where he is now a Professor in the Department of Electrical &
Computer Engineering. He is also the Director of FPGA Academic
Programs for Intel Corporation.
His research interests include field-programmable VLSI technology,
CAD algorithms, computer architecture, and applications of machine
learning. He won the Canadian Natural Sciences and Engineering
Research Council's 1992 Doctoral Prize for the best Ph.D. thesis in
Canada, and the New Brunswick Governor-General's 1985 award for
the highest academic standing in the Faculty of Engineering. He is a
coauthor of more than 150 scientific research papers and two other
textbooks: Fundamentals of Digital Logic with Verilog Design and
Field-Programmable Gate Arrays.
He has won many awards for excellence in teaching electrical
engineering, computer engineering, and computer science courses.

Zvonko Vranesic received his B.A.Sc., M.A.Sc., and Ph.D. degrees,


all in Electrical Engineering, from the University of Toronto. From
1963 to 1965 he worked as a design engineer with the Northern
Electric Co. Ltd. in Bramalea, Ontario. In 1968 he joined the
University of Toronto, where he is now a Professor Emeritus in the
Department of Electrical & Computer Engineering. During the 1978-
79 academic year, he was a Senior Visitor at the University of
Cambridge, England, and during 1984-85 he was at the University of
Paris, 6. From 1995 to 2000 he served as Chair of the Division of
Engineering Science at the University of Toronto.
He is a coauthor of four other books: Computer Organization and
Embedded Systems, 6th ed.; Fundamentals of Digital Logic with
Verilog Design, 3rd ed.; Microcomputer Structures; and Field-
Programmable Gate Arrays. In 1990, he received the Wighton
Fellowship for "innovative and distinctive contributions to
undergraduate laboratory instruction." In 2004, he received the
Faculty Teaching Award from the Faculty of Applied Science and
Engineering at the University of Toronto.
He has represented Canada in numerous chess competitions. He
holds the title of International Master.
PREFACE page vi

This book is intended for an introductory course in digital logic


design, which is a basic course in most electrical and computer
engineering programs. A successful designer of digital logic circuits
needs a good understanding of the classical methods of logic design
and a firm grasp of the modern design approach that relies on
computer-aided design (CAD) tools.
The main goals of this book are (1) to teach students the
fundamental concepts of classical manual digital design and (2)
illustrate clearly the way in which digital circuits are designed today,
using CAD tools. Even though current modern designers very rarely
use manual techniques, our motivation for teaching such techniques
is to give students an intuitive feeling for how digital circuits operate.
The manual techniques also provide an illustration of the types of
manipulations performed by CAD tools, giving students an
appreciation of the benefits provided by design automation.
Throughout the book, basic concepts are introduced by way of
simple design examples, which we perform using both manual
techniques and modern CAD-tool-based methods. After basic
concepts are established, more complex examples are provided
using the CAD tools. Thus, to illustrate how digital design is
presently carried out in practice, our emphasis is on modern design
methodology.

TECHNOLOGY
This book discusses modern digital circuit implementation
technologies. The emphasis is placed on programmable logic devices
(PLDs), which is the most appropriate technology for use in a
textbook for two reasons. First, PLDs are widely used in practice and
are suitable for almost all types of digital circuit designs. In fact,
students are more likely to be involved in PLD-based designs at
some point in their careers than in any other technology. Second,
circuits are implemented in PLDs by end-user programming.
Therefore, students can be provided with the opportunity, in a
laboratory setting, to implement this book's design examples in
actual chips. Students can also simulate the behavior of their
designed circuits on their own computers. We use the two most
popular types of PLDs for targeting of designs: complex
programmable logic devices (CPLDs) and field-programmable gate
arrays (FPGAs).
We emphasize the use of a hardware description language (HDL)
in specifying the logic circuits, because an HDL-based approach is
the most efficient design method to use in practice. We describe in
detail the IEEE Standard VHDL language and use it extensively in
examples.

SCOPE OF THE BOOK


This edition of the book has been extensively restructured. All of the
material that should be covered in a one-semester course is now
included in Chapters 1 to 6. More advanced material is presented in
Chapters 7 to 11.

Chapter 1 provides a general introduction to the process page vii


of designing digital systems. It discusses the key steps in
the design process and explains how CAD tools can be used to
automate many of the required tasks. It also introduces the
representation of digital information.
Chapter 2 introduces logic circuits. It shows how Boolean algebra
is used to represent such circuits. It introduces the concepts of logic
circuit synthesis and optimization, and shows how logic gates are
used to implement simple circuits. It also gives the reader a first
glimpse at VHDL, as an example of a hardware description language
that may be used to specify the logic circuits.
Chapter 3 concentrates on circuits that perform arithmetic
operations. It discusses numbers and shows how they can be
manipulated using logic circuits. This chapter illustrates how VHDL
can be used to specify a desired functionality and how CAD tools can
provide a mechanism for developing the required circuits.
Chapter 4 presents combinational circuits such as multiplexers,
encoders, and decoders that are used as building blocks in larger
circuits. These circuits are very convenient for illustrating the
application of many VHDL constructs, giving the reader the
opportunity to discover more advanced features of VHDL.
Chapter 5 introduces storage elements. The use of flip-flops to
realize regular structures, such as shift registers and counters, is
discussed. VHDL-specified designs of these structures are included.
Chapter 6 gives a detailed presentation of synchronous sequential
circuits (finite state machines). It explains the behavior of these
circuits and develops practical techniques for both manual and
automated design.
Chapter 7 is a discussion of a number of issues that arise in the
design of digital logic systems. It reveals and highlights problems
that are often encountered in practice, and explains how they can be
overcome. Examples of larger circuits illustrate a hierarchical
approach in designing digital systems. Complete VHDL code for
these circuits is presented.
Chapter 8 deals with more advanced methods for optimized
implementation of logic functions. It presents algorithmic techniques
for optimization, and also explains how logic functions can be
specified using a cubical representation or binary decision diagrams.
Chapter 9 discusses asynchronous sequential circuits. While this
treatment is not exhaustive, a clear indication of the main
characteristics of such circuits is provided. Even though
asynchronous circuits are not used extensively in practice, they
provide an excellent vehicle for gaining a more complete
understanding of the operation of digital circuits. Asynchronous
circuits illustrate the importance of clearly understanding how the
structure of a circuit affects the propagation delays of signals, and
how these delays can impact a circuit's functionality.
Chapter 10 presents a complete CAD flow that a designer
experiences when creating, implementing, and testing a digital
circuit.
Chapter 11 introduces the topic of testing. A designer of logic
circuits must be aware of the need to test circuits and should be
conversant with at least the most basic aspects of testing.
Appendix A provides a complete summary of VHDL features.
Although use of VHDL is integrated throughout the book, this
appendix provides a convenient reference that the reader may
occasionally consult while writing VHDL code.

Appendix B presents the electronic aspects of digital page viii


circuits. This appendix shows how the basic gates are built
using transistors and presents various factors that affect circuit
performance. The most modern technologies are emphasized, with
particular focus on CMOS technology and PLDs.

WHAT CAN BE COVERED IN A COURSE


Much of the material in the book can be covered in 2 one-quarter
courses. Thorough coverage of the most important material can be
achieved in a single one-semester, or even a one-quarter, course.
This is possible only if the instructor does not spend too much time
discussing the intricacies of VHDL and CAD tools. To make this
approach possible, we organized the VHDL material in a modular
style that is conducive to self-study. Our experience in teaching
students at the University of Toronto has shown that the instructor
may only spend a total of three to four lecture hours on VHDL,
describing how the code should be structured (including the use of
design hierarchy) using scalar and vector variables, and the style of
code needed to specify sequential circuits. The VHDL examples given
in this book are largely self-explanatory, and students can
understand them with ease.
The book is also suitable for a course in logic design that does not
include exposure to VHDL. However, some knowledge of VHDL, even
at a rudimentary level, is beneficial to the students, and is great
preparation for a job as a design engineer.

One-Semester Course
The following material should be covered in lectures:
• Chapter 1—all sections.
• Chapter 2—all sections.
• Chapter 3—sections 3.1 to 3.5.
• Chapter 4—all sections.
• Chapter 5—all sections.
• Chapter 6—all sections.

One-Quarter Course
In a one-quarter course the following material can be covered:
• Chapter 1—all sections.
• Chapter 2—all sections.
• Chapter 3—sections 3.1 to 3.3 and section 3.5.
• Chapter 4—all sections.
• Chapter 5—all sections.
• Chapter 6—sections 6.1 to 6.4.

page ix
VHDL
VHDL is a complex language that some instructors feel is too hard
for beginning students to grasp. We fully appreciate this issue and
have attempted to solve it by presenting only the most important
VHDL constructs that are useful for the design and synthesis of logic
circuits. Many other language constructs, such as those that have
meaning only when using the language for simulation purposes, are
omitted. The VHDL material is integrated gradually, with more
advanced features presented only at points where their use can be
demonstrated in the design of relevant circuits.
The book includes more than 150 examples of VHDL code. These
examples illustrate how VHDL is used to describe a wide range of
logic circuits, from those that contain only a few gates to those that
represent digital systems such as a simple processor.

CAD TOOLS
All of the examples of VHDL code presented in the book are
provided on the authors' website at
www.eecg.toronto.edu/~brown

To gain a more thorough understanding of the VHDL code examples,


the reader is encouraged to compile and simulate the code using a
commercially-available simulation CAD tool. Toward this end each
example of VHDL code on the Authors' website is accompanied by
setup files for the widely-used ModelSim simulator available from
Mentor Graphics. A detailed tutorial is provided that includes step-
by-step instructions for obtaining, installing, and using this VHDL
simulator.
Modern digital systems are quite large. They contain complex logic
circuits that would be difficult to design without using good CAD
tools. Our treatment of VHDL should enable the reader to develop
VHDL code that specifies logic circuits of varying degrees of
complexity. To gain a proper appreciation of the design process, in
addition to simulating the behavior of VHDL code (as mentioned
above) it is highly beneficial to implement the designs in
commercially-available PLDs by using CAD tools.
Some excellent CAD tools are available free of charge, for
example, Intel Corporation's Quartus CAD software, which is widely
used for implementing designs in programmable logic devices such
as FPGAs. The Lite Edition of the Quartus software can be
downloaded from Intel's website and used free-of-charge. Intel also
provides a set of FPGA-based laboratory boards with accompanying
tutorials and laboratory exercises that are appropriate for use with
this book in a university setting. This material can be found on the
Internet by searching for "Intel FPGA Academic Program".

SOLVED PROBLEMS
The chapters include examples of solved problems. They provide
typical homework problems and show how they may be solved.

page x
HOMEWORK PROBLEMS
More than 400 homework problems are provided in this book.
Answers to selected problems are given at the back. Full solutions to
all problems are available to instructors in the accompanying
Solutions Manual.

POWERPOINT SLIDES AND SOLUTIONS MANUAL


A set of PowerPoint slides that contain all of the figures in the book
is available on the Authors' website. Instructors can request access
to these slides, as well as access to the Solutions Manual for the
book, at:
www.mhhe.com/brownvranesic
ACKNOWLEDGMENTS
We wish to express our thanks to the people who have helped
during the preparation of this book. Dan Vranesic produced a
substantial amount of artwork. He and Deshanand Singh also helped
with the preparation of the solutions manual, and Tom Czajkowski
helped in checking the answers to problems. Matthew Brown edited
the text to improve readability, and Andrew Brown helped to create
some examples of VHDL code. The reviewers, William Barnes, New
Jersey Institute of Technology; Thomas Bradicich, North Carolina
State University; James Clark, McGill University; Stephen DeWeerth,
Georgia Institute of Technology; Sander Eller, Cal Poly Pomona; Clay
Gloster, Jr., North Carolina State University (Raleigh); Carl Hamacher,
Queen's University; Vincent Heuring, University of Colorado; Yu Hen
Hu, University of Wisconsin; Wei-Ming Lin, University of Texas (San
Antonio); Wayne Loucks, University of Waterloo; Kartik Mohanram,
Rice University; Jane Morehead, Mississippi State University; Chris
Myers, University of Utah; Vojin Oklobdzija, University of California
(Davis); James Palmer, Rochester Institute of Technology; Gandhi
Puvvada, University of Southern California; Teodoro Robles,
Milwaukee School of Engineering; Tatyana Roziner, Boston
University; Rob Rutenbar, Carnegie Mellon University; Eric Schwartz,
University of Florida; Wen-Tsong Shiue, Oregon State University;
Peter Simko, Miami University; Scott Smith, University of Missouri
(Rolla); Arun Somani, Iowa State University; Bernard Svihel,
University of Texas (Arlington); and Zeljko Zilic, McGill University
provided constructive criticism and made numerous suggestions for
improvements.
Nicholas Reeder, Sinclair Community College, Dayton, OH,
provided excellent proof reading.

Stephen Brown and Zvonko Vranesic


CONTENTS page xi

Chapter1
INTRODUCTION 1
1.1 Digital Hardware 2
1.1.1 Standard Chips 4
1.1.2 Programmable Logic Devices 4
1.1.3 Custom-Designed Chips 5
1.2 The Design Process 5
1.3 Structure of a Computer 7
1.4 Logic Circuit Design in This Book 10
1.5 Digital Representation of Information 10
1.5.1 Binary Numbers 11
1.5.2 Conversion between Decimal and Binary Systems 13
1.5.3 ASCII Character Code 14
1.5.4 Digital and Analog Information 14
1.6 Theory and Practice 16
Problems 17

Chapter2
INTRODUCTION TO LOGIC CIRCUITS 19
2.1 Variables and Functions 20
2.2 Inversion 23
2.3 Truth Tables 24
2.4 Logic Gates and Networks 25
2.4.1 Analysis of a Logic Network 26
2.5 Boolean Algebra 30
2.5.1 The Venn Diagram 34
2.5.2 Notation and Terminology 38
2.5.3 Precedence of Operations 40
2.6 Synthesis Using AND, OR, and NOT Gates 40
2.6.1 Sum-of-Products and Product-of-Sums Forms 44
2.7 NAND and NOR Logic Networks 50
2.8 Design Examples 54
2.8.1 Three-Way Light Control 55
2.8.2 Multiplexer Circuit 56
2.8.3 Number Display 58
2.9 Introduction to CAD Tools 59
2.9.1 Design Entry 60
2.9.2 Logic Synthesis 61
2.9.3 Functional Simulation 62
2.9.4 Physical Design 62
2.9.5 Timing Analysis 62
2.9.6 Circuit Implementation 63
2.9.7 Complete Design Flow 63
2.10 Introduction to VHDL 63
2.10.1 Structural Specification of Logic Circuits 65
2.10.2 Behavioral Specification of Logic Circuits 69
2.10.3 Hierarchical VHDL Code 70
2.10.4 How not to Write VHDL Code 73
2.11 Minimization and Karnaugh Maps 73
2.12 Strategy for Minimization 81
2.12.1 Terminology 81
2.12.2 Minimization Procedure 83
2.13 Minimization of Product-of-Sums Forms 86
2.14 Incompletely Specified Functions 88
2.15 Multiple-Output Circuits 90
2.16 Concluding Remarks 95
2.17 Examples of Solved Problems 95
Problems 105
References 113
Chapter3
NUMBER REPRESENTATION AND ARITHMETIC CIRCUITS
115
3.1 Positional Number Representation 116
3.1.1 Unsigned Integers 116
3.1.2 Octal and Hexadecimal Representations 116
3.2 Addition of Unsigned Numbers 118
3.2.1 Decomposed Full-Adder 122
3.2.2 Ripple-Carry Adder 122
3.2.3 Design Example 124
3.3 Signed Numbers 124
3.3.1 Negative Numbers 124
3.3.2 Addition and Subtraction 128

3.3.3 Adder and Subtractor Unit 131 page xii


3.3.4 Radix-Complement Schemes. 132
3.3.5 Arithmetic Overflow 136
3.3.6 Performance Issues 137
3.4 Fast Adders 138
3.4.1 Carry-Lookahead Adder 138
3.5 Design of Arithmetic Circuits Using CAD Tools 144
3.5.1 Design of Arithmetic Circuits Using Schematic Capture
144
3.5.2 Design of Arithmetic Circuits Using VHDL 144
3.5.3 Representation of Numbers in VHDL Code 147
3.5.4 Arithmetic Assignment Statements 149
3.6 Multiplication 152
3.6.1 Array Multiplier for Unsigned Numbers 154
3.6.2 Multiplication of Signed Numbers 154
3.7 Other Number Representations 156
3.7.1 Fixed-Point Numbers 157
3.7.2 Floating-Point Numbers 157
3.7.3 Binary-Coded-Decimal Representation 159
3.8 Examples of Solved Problems 163
Problems 169
Reference 172

Chapter4
COMBINATIONAL-CIRCUIT BUILDING BLOCKS 173
4.1 Multiplexers 174
4.1.1 Synthesis of Logic Functions Using Multiplexers 177
4.1.2 Multiplexer Synthesis Using Shannon’s Expansion 180
4.2 Decoders 184
4.2.1 Demultiplexers 188
4.3 Encoders 188
4.3.1 Binary Encoders 189
4.3.2 Priority Encoders 190
4.4 Code Converters 191
4.5 Arithmetic Comparison Circuits 192
4.6 VHDL for Combinational Circuits 193
4.6.1 Assignment Statements 193
4.6.2 Selected Signal Assignment 194
4.6.3 Conditional Signal Assignment 197
4.6.4 Generate Statements 200
4.6.5 Concurrent and Sequential Assignment Statements
202
4.6.6 Process Statement 203
4.6.7 Case Statement 208
4.6.8 VHDL Operators 210
4.7 Concluding Remarks 215
4.8 Examples of Solved Problems 215
Problems 226

Chapter5
FLIP-FLOPS, REGISTERS, AND COUNTERS 231
5.1 Basic Latch 232
5.2 Gated SR Latch 234
5.2.1 Gated SR Latch with NAND Gates 236
5.3 Gated D Latch 237
5.3.1 Effects of Propagation Delays 239
5.4 Edge-Triggered D Flip-Flops 239
5.4.1 M-S D Flip-Flop 240
5.4.2 Other Types of Edge-Triggered D Flip-Flops 241
5.4.3 D Flip-Flops with Clear and Preset 243
5.4.4 Flip-Flop Timing Parameters 246
5.5 T Flip-Flop 247
5.6 JK Flip-Flop 248
5.7 Summary of Terminology 249
5.8 Registers 249
5.8.1 Shift Register 250
5.8.2 Parallel-Access Shift Register 251
5.9 Counters 252
5.9.1 Asynchronous Counters 252
5.9.2 Synchronous Counters 254
5.9.3 Counters with Parallel Load 258
5.10 Reset Synchronization 260
5.11 Other Types of Counters 261
5.11.1 BCD Counter 261
5.11.2 Ring Counter 262
5.11.3 Johnson Counter 265
5.11.4 Remarks on Counter Design 265
5.12 Using Storage Elements with CAD Tools 265
5.12.1 Including Storage Elements in Schematics 265
5.12.2 Using VHDL Constructs for Storage Elements 268
5.13 Using VHDL Sequential Statements for Registers and
Counters 273

5.13.1Flip-Flops and Registers with Enable Inputs page xiii


280
5.13.2 Shift Registers with Enable Inputs 283
5.14 Design Example - Reaction Timer 283
5.14.1 Register Transfer Level (RTL) Code 290
5.15 Timing Analysis of Flip-flop Circuits 292
5.15.1 Timing Analysis with Clock Skew 294
5.16 Concluding Remarks 296
5.17 Examples of Solved Problems 296
Problems 303

Chapter6
SYNCHRONOUS SEQUENTIAL CIRCUITS 309
6.1 Basic Design Steps 311
6.1.1 State Diagram 311
6.1.2 State Table 313
6.1.3 State Assignment 313
6.1.4 Choice of Flip-Flops and Derivation of Next-State and
Output Expressions 315
6.1.5 Timing Diagram 316
6.1.6 Summary of Design Steps 318
6.2 State-Assignment Problem 322
6.2.1 One-Hot Encoding 325
6.3 Mealy State Model 327
6.4 Design of Finite State Machines Using CAD Tools 331
6.4.1 VHDL Code for Moore-Type FSMs 332
6.4.2 Synthesis of VHDL Code 334
6.4.3 Simulating and Testing the Circuit 335
6.4.4 An Alternative Style of VHDL Code 337
6.4.5 Summary of Design Steps When Using CAD Tools 338
6.4.6 Specifying the State Assignment in VHDL Code 338
6.4.7 Specification of Mealy FSMs Using VHDL 341
6.5 Serial Adder Example 343
6.5.1 Mealy-Type FSM for Serial Adder 343
6.5.2 Moore-Type FSM for Serial Adder 345
6.5.3 VHDL Code for the Serial Adder 347
6.6 State Minimization 351
6.6.1 Partitioning Minimization Procedure 353
6.6.2 Incompletely Specified FSMs 360
6.7 Design of a Counter Using the Sequential Circuit Approach
361
6.7.1 State Diagram and State Table for a Modulo-8 Counter
362
6.7.2 State Assignment 362
6.7.3 Implementation Using D-Type Flip-Flops 363
6.7.4 Implementation Using JK-Type Flip-Flops 365
6.7.5 Example—A Different Counter 368
6.8 FSM as an Arbiter Circuit 371
6.9 Analysis of Synchronous Sequential Circuits 376
6.10 Algorithmic State Machine (ASM) Charts 380
6.11 Formal Model for Sequential Circuits 383
6.12 Concluding Remarks 385
6.13 Examples of Solved Problems 385
Problems 394

Chapter7
DIGITAL SYSTEM DESIGN 399
7.1 Bus Structure 400
7.1.1 Using Tri-State Drivers to Implement a Bus 400
7.1.2 Using Multiplexers to Implement a Bus 402
7.1.3 VHDL Code for Specification of Bus Structures 404
7.2 Simple Processor 408
7.3 A Bit-Counting Circuit 420
7.4 Shift-and-Add Multiplier 425
7.5 Divider 432
7.6 Arithmetic Mean 442
7.7 Sort Operation 445
7.8 Clock Synchronization and Timing Issues 454
7.8.1 Clock Distribution 456
7.8.2 Flip-Flop Timing Parameters 457
7.8.3 Asynchronous Inputs to Flip-Flops 458
7.8.4 Switch Debouncing 459
7.9 Concluding Remarks 461
Problems 461

Chapter8
OPTIMIZED IMPLEMENTATION OF LOGIC FUNCTIONS
465
8.1 Multilevel Synthesis 466
8.1.1 Factoring 467

8.1.2 Functional Decomposition 470 page xiv


8.1.3 Multilevel NAND and NOR Circuits 475
8.2 Analysis of Multilevel Circuits 478
8.3 Alternative Representations of Logic Functions 483
8.3.1 Cubical Representation 483
8.3.2 Binary Decision Diagrams 487
8.4 Optimization Techniques Based on Cubical Representation
493
8.4.1 A Tabular Method for Minimization 493
8.4.2 A Cubical Technique for Minimization 501
8.4.3 Practical Considerations 508
8.5 Concluding Remarks 509
8.6 Examples of Solved Problems 509
Problems 518

Chapter9
ASYNCHRONOUS SEQUENTIAL CIRCUITS 521
9.1 Asynchronous Behavior 522
9.2 Analysis of Asynchronous Circuits 525
9.3 Synthesis of Asynchronous Circuits 533
9.4 State Reduction 546
9.5 State Assignment 560
9.5.1 Transition Diagram 563
9.5.2 Exploiting Unspecified Next-State Entries 566
9.5.3 State Assignment Using Additional State Variables 569
9.5.4 One-Hot State Assignment 575
9.6 Hazards 576
9.6.1 Static Hazards 576
9.6.2 Dynamic Hazards 581
9.6.3 Significance of Hazards 583
9.7 A Complete Design Example 583
9.7.1 The Vending-Machine Controller 583
9.8 Concluding Remarks 588
9.9 Examples of Solved Problems 590
Problems 598

C h a p t e r 10
COMPUTER AIDED DESIGN TOOLS 603
10.1 Synthesis 604
10.1.1 Netlist Generation 604
10.1.2 Gate Optimization 604
10.1.3 Technology Mapping 606
10.2 Physical Design 609
10.2.1 Placement 609
10.2.2 Routing 611
10.2.3 Timing Analysis 613
10.3 Concluding Remarks 616
References 616

C h a p t e r 11
TESTING OF LOGIC CIRCUITS 617
11.1 Fault Model 618
11.1.1 Stuck-at Model 618
11.1.2 Single and Multiple Faults 619
11.1.3 CMOS Circuits 619
11.2 Complexity of a Test Set 619
11.3 Path Sensitizing 621
11.3.1 Detection of a Specific Fault 623
11.4 Circuits with Tree Structure 625
11.5 Random Tests 626
11.6 Testing of Sequential Circuits 629
11.6.1 Design for Testability 629
11.7 Built-in Self-Test 633
11.7.1 Built-in Logic Block Observer 637
11.7.2 Signature Analysis 639
11.7.3 Boundary Scan 640
11.8 Printed Circuit Boards 640
11.8.1 Testing of PCBs 642
11.8.2 Instrumentation 643
11.9 Concluding Remarks 644
Problems 644
References 647

AppendixA
VHDL REFERENCE 649
A.1 Documentation in VHDL Code 650
A.2 Data Objects 650
A.2.1 Data Object Names 650
A.2.2 Data Object Values and Numbers 650
A.2.3 SIGNAL Data Objects 651
A.2.4 BIT and BIT VECTOR Types 651
A.2.5 STD LOGIC and STD LOGIC VECTOR Types 652
A.2.6 STD ULOGIC Type 652
A.2.7 SIGNED and UNSIGNED Types 653
A.2.8 INTEGER Type 653
A.2.9 BOOLEAN Type 654

A.2.10 ENUMERATION Type 654 page xv


A.2.11 CONSTANT Data Objects 654
A.2.12 VARIABLE Data Objects 655
A.2.13 Type Conversion 655
A.2.14 Arrays 655
A.3 Operators 656
A.4 VHDL Design Entity 657
A.4.1 ENTITY Declaration 657
A.4.2 Architecture 658
A.5 Package 660
A.6 Using Subcircuits 661
A.6.1 Declaring a COMPONENT in a Package 663
A.7 Concurrent Assignment Statements 664
A.7.1 Simple Signal Assignment 664
A.7.2 Assigning Signal Values Using OTHERS 665
A.7.3 Selected Signal Assignment 666
A.7.4 Conditional Signal Assignment 667
A.7.5 GENERATE Statement 668
A.8 Defining an Entity with GENERICs 668
A.9 Sequential Assignment Statements 669
A.9.1 PROCESS Statement 671
A.9.2 IF Statement 671
A.9.3 CASE Statement 672
A.9.4 Loop Statements 673
A.9.5 Using a Process for a Combinational Circuit 673
A.9.6 Statement Ordering 674
A.9.7 Using a VARIABLE in a PROCESS 675
A.10 Sequential Circuits 680
A.10.1 A Gated D Latch 680
A.10.2 D Flip-Flop 681
A.10.3 Using a WAIT UNTIL Statement 681
A.10.4 A Flip-Flop with Asynchronous Reset 682
A.10.5 Synchronous Reset 682
A.10.6 Registers 684
A.10.7 Shift Registers 686
A.10.8 Counters 688
A.10.9 Using Subcircuits with GENERIC Parameters 688
A.10.10 A Moore-Type Finite State Machine 692
A.10.11 A Mealy-Type Finite State Machine 696
A.11 Common Errors in VHDL Code 696
A.12 Concluding Remarks 699
References 700

AppendixB
IMPLEMENTATION TECHNOLOGY 701
B.1 Transistor Switches 702
B.2 NMOS Logic Gates 704
B.3 CMOS Logic Gates 707
B.3.1 Speed of Logic Gate Circuits 714
B.4 Negative Logic System 715
B.5 Standard Chips 718
B.5.1 7400-Series Standard Chips 718
B.6 Programmable Logic Devices 720
B.6.1 Programmable Logic Array (PLA) 721
B.6.2 Programmable Array Logic (PAL) 723
B.6.3 Programming of PLAs and PALs 725
B.6.4 Complex Programmable Logic Devices (CPLDs) 727
B.6.5 Field-Programmable Gate Arrays 731
B.7 Custom Chips, Standard Cells, and Gate Arrays 736
B.8 Practical Aspects 738
B.8.1 MOSFET Fabrication and Behavior 738
B.8.2 MOSFET On-Resistance 742
B.8.3 Voltage Levels in Logic Gates 743
B.8.4 Noise Margin 745
B.8.5 Dynamic Operation of Logic Gates 746
B.8.6 Power Dissipation in Logic Gates 749
B.8.7 Passing 1s and 0s Through Transistor Switches 751
B.8.8 Transmission Gates 753
B.8.9 Fan-in and Fan-out in Logic Gates 755
B.8.10 Tri-state Drivers 759
B.9 Static Random Access Memory (SRAM) 761
B.9.1 SRAM Blocks in PLDs 762
B.10 Implementation Details for SPLDs, CPLDs, and FPGAs 763
B.10.1 Implementation in FPGAs 771
B.11 Concluding Remarks 773
B.12 Examples of Solved Problems 773
Problems 780
References 790

ANSWERS 791
INDEX 805
page xvi

page xvii
page xviii
page 1
chapter
1

INTRODUCTION

CHAPTER OBJECTIVES

In this chapter you will be introduced to:


• Digital hardware components
• An overview of the design process
• Binary numbers
• Digital representation of information

This book is about logic circuits—the circuits from which


page 2

computers are built. Proper understanding of logic circuits is vital for


today's electrical and computer engineers. These circuits are the key
ingredient of computers and are also used in many other
applications. They are found in commonly used products like music
and video players, electronic games, digital watches, cameras,
televisions, printers, and many household appliances, as well as in
large systems, such as telephone networks, Internet equipment,
television broadcast equipment, industrial control units, and medical
instruments. In short, logic circuits are an important part of almost
all modern products.
The material in this book will introduce the reader to the many
issues involved in the design of logic circuits. It explains the key
ideas with simple examples and shows how complex circuits can be
derived from elementary ones. We cover the classical theory used in
the design of logic circuits because it provides the reader with an
intuitive understanding of the nature of such circuits. But,
throughout the book, we also illustrate the modern way of designing
logic circuits using sophisticated computer aided design (CAD)
software tools. The CAD methodology adopted in the book is based
on the industry-standard design language called VHDL. Design with
VHDL is first introduced in Chapter 2, and usage of VHDL and CAD
tools is an integral part of each chapter in the book.
Logic circuits are implemented electronically, using transistors on
an integrated circuit chip. Commonly available chips that use modern
technology may contain more than a billion transistors, as in the
case of some computer processors. The basic building blocks for
such circuits are easy to understand, but there is nothing simple
about a circuit that contains billions of transistors. The complexity
that comes with large circuits can be handled successfully only by
using highly organized design techniques. We introduce these
techniques in this chapter, but first we briefly describe the hardware
technology used to build logic circuits.

1.1 DIGITAL HARDWARE


Logic circuits are used to build computer hardware, as well as many
other types of products. All such products are broadly classified as
digital hardware. The reason that the name digital is used will be
explained in Section 1.5—it derives from the way in which
information is represented in computers, as electronic signals that
correspond to digits of information.
The technology used to build digital hardware has evolved
dramatically over the past few decades. Until the 1960s logic circuits
were constructed with bulky components, such as transistors and
resistors that came as individual parts. The advent of integrated
circuits made it possible to place a number of transistors, and thus
an entire circuit, on a single chip. In the beginning these circuits had
only a few transistors, but as the technology improved they became
more complex. Integrated circuit chips are manufactured on a silicon
wafer, such as the one shown in Figure 1.1. The wafer is cut to
produce the individual chips, which are then placed inside a special
type of chip package. By 1970 it was possible to implement all
circuitry needed to realize a microprocessor on a single chip.
Although early microprocessors had modest computing capability by
today's standards, they opened the door for the information
processing revolution by providing the means for implementation of
affordable personal computers.

Figure 1.1 A silicon wafer.

About 30 years ago Gordon Moore, chairman of Intel Corporation,


observed that integrated circuit technology was progressing at an
astounding rate, approximately doubling the number of transistors
that could be placed on a chip every two years. This phenomenon is
informally known as Moore's law. Thus in the early 1990s
microprocessors could be manufactured with a few million
transistors, and by the late 1990s it became possible to fabricate
chips that had tens of millions of transistors. Presently, chips can be
manufactured containing billions of transistors.

Moore's law is expected to continue to hold true for a page 3


number of years. A consortium of integrated circuit
associations produces a forecast of how the technology is expected
to evolve. Known as the International Roadmap for Devices and
Systems (IRDS), this forecast discusses many aspects of technology,
including the maximum number of transistors that can be
manufactured in a given chip area. An example of data that can be
derived from the roadmap is given in Figure 1.2. It indicates how the
maximum number of transistors that can be manufactured on a
single chip has changed over time—chips with about 10 million
transistors could be successfully manufactured in 1995, and this
number has steadily increased, leading to modern chips that have
over a billion transistors. The roadmap predicts that chips with more
than 100 billion transistors will be possible by the year 2025. There
is no doubt that this technology will have a huge impact on all
aspects of people's lives.
Figure 1.2 An estimate of the maximum number of transistors per chip over
time.

The designer of digital hardware may be faced with designing


logic circuits that can be implemented on a single chip or designing
circuits that involve a number of chips placed on a printed circuit
board (PCB). Frequently, some of the logic circuits can be realized in
existing chips that are readily available. This situation simplifies the
design task and shortens the time needed to develop the final
product. Before we discuss the design process in detail, we should
introduce the different types of integrated circuit chips that may be
used.
There exists a large variety of chips that implement various
functions that are useful in the design of digital hardware. The chips
range from simple ones with low functionality to extremely complex
chips. For example, a digital hardware product may require a
microprocessor to perform some arithmetic operations, memory
chips to provide storage capability, and interface chips that allow
easy connection to input and output devices. Such chips are
available from various vendors.

For many digital hardware products, it is also necessary to page 4


design and build some logic circuits from scratch. For
implementing these circuits, three main types of chips may be used:
standard chips, programmable logic devices, and custom chips.
These are discussed next.

1.1.1 STANDARD CHIPS


Numerous chips are available that realize some commonly used logic
circuits. We will refer to these as standard chips, because they
usually conform to an agreed-upon standard in terms of functionality
and physical configuration. Each standard chip contains a small
amount of circuitry (usually involving fewer than 100 transistors) and
performs a simple function. To build a logic circuit, the designer
chooses the chips that perform whatever functions are needed and
then defines how these chips should be interconnected to realize a
larger logic circuit.
Standard chips were popular for building logic circuits until the
early 1980s. However, as integrated circuit technology improved, it
became inefficient to use valuable space on PCBs for chips with low
functionality. Another drawback of standard chips is that the
functionality of each chip is fixed and cannot be changed.

1.1.2 PROGRAMMABLE LOGIC DEVICES


In contrast to standard chips that have fixed functionality, it is
possible to construct chips that contain circuitry which can be
configured by the user to implement a wide range of different logic
circuits. These chips have a very general structure and include a
collection of programmable switches that allow the internal circuitry
in the chip to be configured in many different ways. The designer
can implement whatever functions are required for a particular
application by setting the programmable switches as needed. The
switches are programmed by the end user, rather than when the
chip is manufactured. Such chips are known as programmable logic
devices (PLDs).

PLDs are available in a wide range of sizes, and can be page 5


used to implement very large logic circuits. The most
commonly used type of PLD is known as a field-programmable gate
array (FPGA). The largest FPGAs contain billions of transistors and
support the implementation of complex digital systems. An FPGA
consists of a large number of small logic circuit elements, which can
be connected together by using programmable switches in the FPGA.
Because of their high capacity, and their capability to be tailored to
meet the requirements of a specific application, FPGAs are widely
used today.

1.1.3 CUSTOM-DESIGNED CHIPS


FPGAs are available as off-the-shelf components that can be
purchased from different suppliers. Because they are programmable,
they can be used to implement most logic circuits found in digital
hardware. However, they also have a drawback in that the
programmable switches consume valuable chip area and limit the
speed of operation of implemented circuits. Thus in some cases
FPGAs may not meet the desired performance or cost objectives. In
such situations it is possible to design a chip from scratch; namely,
the logic circuitry that must be included on the chip is designed first
and then the chip is manufactured by a company that has the
fabrication facilities. This approach is known as custom or semi-
custom design, and such chips are often called application-specific
integrated circuits (ASICs).
The main advantage of a custom chip is that its design can be
optimized for a specific task; hence it usually leads to better
performance. It is possible to include a larger amount of logic
circuitry in a custom chip than would be possible in other types of
chips. The cost of producing such chips is high, but if they are used
in a product that is sold in large quantities, then the cost per chip,
amortized over the total number of chips fabricated, may be lower
than the total cost of off-the-shelf chips that would be needed to
implement the same function(s). Moreover, if a single chip can be
used instead of multiple chips to achieve the same goal, then a
smaller area is needed on a PCB that houses the chips in the final
product. This results in a further reduction in cost.
A disadvantage of the custom-design approach is that
manufacturing a custom chip often takes a considerable amount of
time, on the order of months. In contrast, if an FPGA can be used
instead, then the chips are programmed by the end user and no
manufacturing delays are involved.

1.2 THE DESIGN PROCESS


The availability of computer-based tools has greatly influenced the
design process in a wide variety of environments. For example,
designing an automobile is similar in the general approach to
designing a furnace or a computer. Certain steps in the development
cycle must be performed if the final product is to meet the specified
objectives.

The flowchart in Figure 1.3 depicts a typical development page 6


process. We assume that the process is to develop a product
that meets certain expectations. The most obvious requirements are
that the product must function properly, that it must meet an
expected level of performance, and that its cost should not exceed a
given target.
Figure 1.3 The development process.

The process begins with the definition of product page 7


specifications. The essential features of the product are
identified, and an acceptable method of evaluating the implemented
features in the final product is established. The specifications must
be tight enough to ensure that the developed product will meet the
general expectations, but should not be unnecessarily constraining
(that is, the specifications should not prevent design choices that
may lead to unforeseen advantages).
From a complete set of specifications, it is necessary to define the
general structure of an initial design of the product. This step is
difficult to automate. It is usually performed by a human designer
because there is no clear-cut strategy for developing a product's
overall structure—it requires considerable design experience and
intuition.
After the general structure is established, CAD tools are used to
work out the details. Many types of CAD tools are available, ranging
from those that help with the design of individual parts of the
system to those that allow the entire system's structure to be
represented in a computer. When the initial design is finished, the
results must be verified against the original specifications.
Traditionally, before the advent of CAD tools, this step involved
constructing a physical model of the designed product, usually
including just the key parts. Today it is seldom necessary to build a
physical model. CAD tools enable designers to simulate the behavior
of incredibly complex products, and such simulations are used to
determine whether the obtained design meets the required
specifications. If errors are found, then appropriate changes are
made and the verification of the new design is repeated through
simulation. Although some design flaws may escape detection via
simulation, usually all but the most subtle problems are discovered in
this way.
When the simulation indicates that the design is correct, a
complete physical prototype of the product is constructed. The
prototype is thoroughly tested for conformance with the
specifications. Any errors revealed in the testing must be fixed. The
errors may be minor, and often they can be eliminated by making
small corrections directly on the prototype of the product. In case of
large errors, it is necessary to redesign the product and repeat the
steps explained above. When the prototype passes all the tests, then
the product is deemed to be successfully designed and it can go into
production.
page 8
1.3 STRUCTURE OF A COMPUTER
page 9
To understand the role that logic circuits play in digital
systems, consider the structure of a typical computer, as illustrated
in Figure 1.4a. The computer case houses a number of printed
circuit boards (PCBs), a power supply, and (not shown in the figure)
storage units, like a hard disk and DVD or CD-ROM drives. Each unit
is plugged into a main PCB, called the motherboard. As indicated on
the bottom of the figure, the motherboard holds several integrated
circuit chips, and it provides slots for connecting other PCBs, such as
audio, video, and network boards.
Figure 1.4 A digital hardware system (Part a)
Another random document with
no related content on Scribd:
decoration within his gift and the Order No. 1 of St. Sava, a cross of
diamonds. Never before had it been bestowed on any other woman
save royalty. Seldom has any woman in history been so
conspicuously the object of an entire country’s gratitude. The street
on which the hospital stood was renamed with her name. On the
Plain of Kossova there stands a very old and historic church, on the
walls of which from time to time through the centuries, have been
inscribed the names of queens and saints. Leila Paget’s name also
has been written there. A nation feels even as does that common
Serbian soldier whom she had nursed back from death, who
afterwards wrote her: “For me only two people exist, you on earth
and God in Heaven.”
Well, Leila Paget stayed with Serbia to the end. After two months’
rest in England, she was back in July at her hospital in Uskub. Sir
Ralph had returned with her, having been made general director of
the British medical and relief work in Serbia, with his headquarters at
Nish. In October the Bulgarians took Uskub. When the city was
under bombardment during the battle that preceded its fall, Sir Ralph
arrived in a motor car to rescue his wife. But four hours later he had
to leave without her on his way in his official capacity to warn the
other hospitals which were in his charge. “Leila, Leila,” he
expostulated in vain. She only shook her head. “My place is here,”
she said, glancing backward where 600 wounded soldiers lay. Lady
Paget and her hospital were of course detained by the enemy when
they occupied the town. She remained to nurse Bulgarians,
Austrians and Serbians alike. And she organised relief work for the
refugees, of whom she fed sometimes as many as 4,000 a day. For
weeks and months, it was only by dint of the utmost exertion that it
was possible to extract from the exhausted town sufficient wood and
petrol just to keep fires going in the hospital kitchen and sterilisers in
the operating rooms. “These,” says Lady Paget, “were strange times
and in the common struggle for mere existence it did not occur very
much to any one to consider who were friends and who were
enemies.” In the spring of 1916, in March, arrangements were made
by the German Government permitting the return to England of Lady
Paget and her unit. Her war record reaching America, the New York
City Federation of Women’s Clubs selected her as the recipient of
their jewelled medal. It is awarded each year to the woman of all the
world who has performed the most courageous act beyond the call
of duty.

HEROIC SERVICE OF SCOTTISH WOMEN


Woman’s war record in Europe is now starred with courageous
acts. That day in Serbia Sir Ralph, riding on while the people
sprinkled their mountain roads with white powder in token of
surrender, came to the Scottish Women’s Hospitals. These had not
even men doctors, as at Uskub. They were “manned” wholly by
women sent out by the National Union of Women Suffragists in Great
Britain. And there was not a man about the place except the
wounded men in the beds. But Dr. Alice Hutchinson, at Valjevo, and
Dr. Elsie Inglis, at Krushevats, with their staffs, also refused to leave
their patients. All three of these women made the decision to face
the enemy rather than desert their posts of duty. They were all three
taken prisoners and required to nurse the German wounded along
with their own. Months afterward they were released to be returned
to England. Dr. Hutchinson, who has been decorated by the Serbian
Government with the order of St. Sava, when she evacuated her
hospital at the order of the Austrians, wrapped the British flag about
her waist beneath her uniform that it might not be insulted by the
invaders. Dr. Inglis had all her hospital equipment confiscated by the
Germans. When she protested that this was in violation of Red
Cross rules, the German commander only smiled: “You have made
your hospital so perfect,” he said, “we must have it.” Dr. Inglis has
been decorated with the Serbian order of the White Eagle. Since
then, at the Russian front with another Scottish hospital, Dr. Inglis
and her entire staff have again been decorated by the Russian
Government.
In London I heard the women of the Scottish hospitals spoken of
at historic St. Margaret’s Chapel as “that glorious regiment of Great
Britain called the Scottish Women’s Hospitals.” And the clergyman
who said it, spoke reverently in eulogy of one of the most
distinguished members of that regiment, “the very gallant lady who in
behalf of her country has just laid down her life.” In the historic
chapel, the wall at the back of the altar behind the great gold cross
was hung with battle flags. Men in khaki and women in khaki listened
with bowed heads. It was the memorial service for Katherine Mary
Harley, of whom the London papers of the day before had
announced in large headlines, “Killed at her post of duty in Monastir.”
MRS. KATHERINE M. HARLEY OF LONDON
One of England’s famous suffragists, a number of whom have
died at the front in their country’s cause. Mrs. Harley was buried
like a soldier with her war decoration on the coat lapel of her
uniform.
In that other world we used to have before the war, Mrs. Harley
was known as one of England’s most distinguished constitutional
suffragists, not quite so radical as Mrs. Despard, her sister, who is
the leader of the Woman’s Freedom League. One of her most
notable pieces of work in behalf of votes for women was the great
demonstration she organised a few years ago in that pilgrimage of
women who marched from all parts of England, addressing vast
concourses of people along the highways and arriving by diverse
routes for a great mass meeting in Hyde Park. You see, Katherine
Harley was an organiser of tried capacity. And she, too, comes of a
family of soldiers. She was the daughter of Captain French, of Kent.
Her husband, who died from the effects of the Boer War, was
Colonel Harley, chief of staff to General Sir Leslie Rindle in South
Africa. Her brother is Viscount Sir John French, former field marshal
of the English forces in France. And her son is now fighting at the
front. With all of this brilliant array of military men belonging to her, it
is a curious fact, as her friends in London told me, that Mrs. Harley
did not believe in war. “Katherine was a pacifist,” one of them said at
the International Franchise Club the night that the announcement of
her death was received there in a hushed and sorrowful silence. But
she believed if there must be war, some one must bind up the
wounds of war. And it was with high patriotic zeal and with the
fearless spirit of youth, albeit she was 62 years of age, that Mrs.
Harley in 1914 enlisted with the Scottish Women, taking her two
daughters with her into the service. She went out as administrator of
the hospital at Royaumont. And when that was in successful
operation, she was transferred to Troyes to set up the tent hospital
there. Then she was called to Salonica. It was at Salonica that she
commanded the famous transport flying column of motor
ambulances that went over precipitous mountain roads right up to
the fighting line to get the wounded. She was in charge of a motor
ambulance unit with the Serbian army at Monastir when in March,
1917, at the time of the regular evening bombardment by the enemy,
she was struck by a shell. They buried her like a soldier and she lies
at rest with the Croix de Guerre for bravery on her breast out there at
the front of the conflict.
Violetta Thurston, you might think, if you met her, a little English
schoolgirl who has just seen London for the first time. Then by her
eyes you would know that she is more, by the wide, almost startled
look in what were meant to be calm, peaceful, English eyes. Violetta
Thurston is the little English nurse decorated by both Russia and
Belgium who in these last years has lived a life that thrills with the
adventures of war. She went out at the head of twenty-six nurses
from the National Union of Trained Nurses who were at work in
Brussels when the Germans arrived. They improvised their hospital
in the fire-station. At last the English nurses were all expelled by
German order and sent to Dunkirk. There Miss Thurston connected
with the Russian Red Cross.
She has written a book, “Field Hospital and Flying Column,” on her
experiences in Russia. There were four days at Lodz that she neither
washed nor had her clothes off. And once she was wounded by
shrapnel and once nearly killed by a German bomb. The last record I
have of her she was matron in charge of a hospital at La Panne in
Belgium.

HEROINES OF FRANCE
No girl has, I suppose, lived a more uneventful life than did
Emilienne Moreau up to the time that she became one of the most
celebrated heroines of France. You haven’t if your home is, say,
down in some little mining village of West Virginia or in the coal-fields
of Pennsylvania, where you are going back and forth to school on
week days and to Sunday school every Sunday. Emilienne was like
that in Loos. She was sixteen and so near the end of school that she
was about to get out the necessary papers for taking the
examination for institutrice, which is a school teacher in France. Loos
was a mining village. The inhabitants lived in houses painted in the
bright colours that you always used to see in this gay and happy
land. It was in one of the most pretentious houses situated in the
Place de la Republique, and opposite the church, that the Moreau
family lived. The large front room of the house was M. Moreau’s
store. He had worked all his life in the mines and now at middle age,
only the past summer, had removed here with his family from a
neighbouring village and he had purchased the general store. It was
with great pride that the family looked forward to an easier life and a
comfortable career for the father as a “bonneted merchant.”
Emilienne was his favourite child, his darling and his pride, and she
in turn adored her father. Often they took long walks in the woods
together. They had just come back from one of these walks,
Emilienne with her arms filled with bluets and marguerites, when on
August 1 a long shriek of the siren at the mines called the miners
from the shafts and the farmers round about from their fields.
Assembling at the Mairie for mobilisation all the men of military age
marched away from Loos.
That night the sun went down in a blood-red glory. All the houses
of Loos were bathed in blood-red. “Bad sign,” muttered an old
woman purchasing chocolate at the store. And it was. Soon the
refugees from surrounding burning villages came flocking by in
streams, telling of the terrible Germans from whom they had
escaped. Most of the inhabitants of Loos joined the fleeing throngs.
Of five thousand people, ultimately only two hundred remained in the
village. Among these were the Moreau family, who, possessing in
marked degree that national trait of love for their home and their
belongings, refused to leave. “But,” said her father to Emilienne,
“little daughter, it will, I fear, be a long time before you will gather
flowers again.”
And it was. The Germans were in possession of Loos by October.
They poured petrol on the houses and burned many of them. At the
store in the Place de la Republique, Emilienne, with quick wit, set a
bottle of wine out on the counter and they drank and went away
without burning, although they looted the store of everything of
value. During the year that followed, Loos remained in the hands of
the enemy. In the effort of the French to retake it, it was often fired
upon from the surrounding hills. From the windows in the sloping
garret roof, Emilienne and her father watched many a battle until the
bombs began falling on the garret itself. They were exposed to
constant danger. They had to live on the vegetables they could
gather from the deserted neighbouring gardens. By December her
father was ill from privation and hunger and anxiety, and one night
he died. Emilienne, girl as she was, seems to have been the main
reliance of the family, her mother, her little sister Marguerite, and her
little brother Leonard, aged nine. The morning after her father’s
death, Emilienne went to the German commandant to ask for
assistance. How should she get a coffin? How should it be possible
to bury her father? And the German laughed: “One can get along
very well without a coffin!” He finally permitted her four French
prisoners to dig the grave and the curé of Loos, he said, could say a
prayer. But Emilienne was heart-broken at the thought of putting her
father into the ground without a coffin. She and her little brother
made one with their own hands from boards she found at the
deserted carpenter-shop down the street.
By the spring of 1915 the bombardment of Loos increased in
violence. There were days at a time when the whole family, with their
black dog Sultan, did not dare venture out of the cellar. In
September, Emilienne, ascending to the demolished garret, where
she lay flat on her stomach on the rafters, watched a battle in which
the strangest beings she ever saw took part, fantastic creatures of a
grey colour who were throwing themselves on the German trenches.
As they advanced, she noticed that they wore “little petticoats,” and
she hurried to tell her mother that these must be the English
suffragettes of whom she had heard, coming to the rescue of Loos.
What they actually were was the Scottish troops in kilts, the famous
“Black Watch,” who a few days later had driven the Germans from
Loos. As they came into the village, Emilienne, braving a cyclone of
shells, and rallying her French neighbours, ran to meet them, waving
the French flag and singing the “Marseillaise.” Thus, it is said, by her
fearless courage, was averted a retreat that might have meant
disaster along the whole front.
But the fighting was not yet over. During the next few days,
Emilienne, with the Red Cross doctor’s assistance, turned her house
into a first-aid station. Some seven of the stalwart Scotsmen in the
“little petticoats,” she herself dragged in to safe shelter when they
had been wounded. Two Germans taking aim at French soldiers she
killed with a revolver she had just snatched from the belt of a dead
man. When the enemy had been finally repulsed, Emilienne Moreau
was summoned by the Government to be given the Croix de Guerre.
A little later, her pictured face was placarded all over Paris by the
French newspapers. They wanted her to write her personal story. At
first she shrank from it: “It would be presumption on the part of a girl.
What would my commune think?” But finally she was prevailed upon,
and for two months daily “Mes Mémoires” appeared on the front
page of Le Petit Parisien with a double-column headline. Even more
honours have come to Emilienne. Great Britain bestowed on her its
order of St. John of Jerusalem and the King has sent her a personal
invitation to visit Buckingham Palace as soon as the Channel
crossing shall be safe.
With it all, you would think Emilienne, if you met her, quite a
normal girl. You see, she is young enough to forget. And it is only
occasionally that in the clear blue eyes you catch a glimpse of
tragedy. Her smooth brown hair she is as interested in having in the
latest mode as are you who to-day consulted the fashion-pages of a
magazine for coiffures. I have seen her on the sands at Trouville with
a group of girls at play at blind man’s buff in the moonlight. And by
her silvery laughter you would not know her from the rest as a
heroine. The next day, when they were in bathing and the body of a
drowned man was washed ashore, one of the other girls fainted.
Afterward Emilienne said, and there was in her eyes a far-away look
of old horrors as she spoke, “Marie, Marie, if your eyes had looked
on what mine have, you would not faint so easily.”
There is another French girl, the youngest war heroine I know who
has been decorated by any government. And the case of Madeleine
Danau is perhaps of special interest, because any girl in the United
States can even now begin to be a heroine as she was. They say in
France that “la petite Danau” has served her country even though it
was not while exposed to shot and shell. She lives in the village of
Corbeil and she was only fourteen years old at the time her father,
the baker, was mobilised. A baker in France, it must be remembered,
is a most necessary functionary in the community, for as everybody
has for years bought bread, nobody even knows how to make it at
home any more. The whole neighbouring countryside, therefore, you
see, was most dependent on the baker, and the baker was gone
away to war. It was then that Madeleine proved equal to doing the
duty that was nearest to her. She promptly stepped into her father’s
place before the bread-trough and the oven. She gets up each
morning at four o’clock and with the aid of her little brother, a year
younger than herself, she makes each day eight hundred pounds of
bread, which is delivered in a cart by another brother and sister. The
radius of the district is some ten miles, and no household since war
began has missed its daily supply of bread.
One day Madeleine was summoned to a public meeting for which
the citizens of Corbeil assembled at the Mairie. She went in her
champagne-coloured dress of toile de laine and her Sunday hat of
leghorn trimmed with black velvet and white roses. And there before
this public assemblage the Préfet des Deux-Sèvres pinned on
Madeleine the Cross of Lorraine and read a letter from President
Poincaré of France. In it the President presented to Madeleine
Danau his sincere compliments and begged her to accept “this little
jewel,” this Cross of Lorraine, which shall proclaim that the valiant
child of the Deux-Sèvres through her own labour assuring for the
inhabitants of the Commune of Exoudun their daily bread, has
performed as patriotic a service and is as good a Frenchwoman as
are any of her sisters of the Meuse.
The ever-lengthening list of heroic women who have distinguished
themselves in this war in Europe is now so many that it is quite
impossible even to mention any considerable number of them in less
than a very large book. You find their names now in every country
quite casually listed along with those of soldiers in the Roll of Honour
published in the daily newspapers. And it is no surprise to come on
women’s names in any of the lists, “Dead,” “Wounded,” or
“Decorated.” The French Academy out of seventy prizes in 1916
awarded no less than forty-seven to women “as most distinguished
examples of military courage.” Among these the Croix de Guerre has
been given to Madame Macherez, capable citizeness of Soissons,
who has been daily at the Mairie in an executive capacity, and to
Mlle. Sellier who has been in charge of the Red Cross hospital there
during the long months of the bombardment. The Cross of the
Legion of Honor along with the cross of Christ decorates the front of
the black habit of Sister Julie, the nun of Gerbéviller who held the
invading Germans at bay while she stood guard over the wounded
French soldiers at her improvised hospital.
It’s like this in all of the warring countries. And all of these women
with their war jewelery for splendid service, are women like you and
me. But yesterday, and they might have been pleased with a string
of beads to wind about a white throat. Out of every-day feminine stuff
like this shall our war heroines too be made.
CHAPTER V
The New Wage Envelope
The baby had been fretful all that hot summer day. Every time he
was passed over to the eldest little girl, he cried. So Mrs. Lewis had
to keep him herself. All the twenty pounds of him rested heavily on
her slender left arm while she went about the kitchen getting supper.
With one hand she managed now and then to stir the potatoes
“warming over” in the pan on the stove. She put the pinch of tea in
the pot and set it steeping. And she fried the ham. She set on the
table a loaf of bread, still warm from the day’s baking and called to
the eldest little girl to bring the butter. “Aren’t we going to have the
apple sauce too?” the child asked. “Oh, yes, bring it,” the mother had
answered pettishly. “I’m that tired I don’t care how quickly you eat
everything up.”
You see she had been going around like this with the heavy baby
all day while she baked, and there were the three meals to cook.
And she had done some of the ironing and there was the kitchen
floor that had to be “washed down.” And the second little girl’s dress
had to be finished for Sunday. And Jimmie, aged nine, whose food
was always disagreeing with him, was in bed with one of his sick
spells and called frequently for her to wait on him in the bedroom at
the head of the stairs. And she had been up with the baby a good
deal anyhow the night before. So you see why Mrs. Lewis was what
is called “cross.”
Besides, she was just now facing a new anxiety. When her
husband came in from the shop and hung up his hat and she had
dished up the potatoes and the family sat down to the evening meal,
there was just one subject of conversation. The State of New York
was making its preparedness preparation with the military census
that was to begin to-morrow, a detailed inventory of man power and
possessions. Hitherto for America the war had been over in Europe.
Now for the first time it was here for the Lewis family. And other
similar supper tables all over the United States were facing it too.
“But you couldn’t possibly go,” the tired woman said across the table.
“I may have to,” the man answered.
“Then what’ll happen to me and the children?” she returned
desperately.
And he didn’t know. And she didn’t know. Hardly anybody knew.
We on this side of the Atlantic are now beginning to find out.
Mr. Lewis was drafted last week. The rent is paid one month
ahead. You can see the bottom of the coal bin. There’s only half a
barrel of flour. And there are seven children to feed. No, there are
none of her family nor his that want to adopt any of them as war
work. Well, there you are. And there Mrs. Lewis is. In her nervous
dread of the charity that she sees coming, she slaps the children
twice as often as she used to and the baby cries all day.
But, Mrs. Lewis, listen. Don’t even ask the Exemption Board to
release your husband. It’s your chance to be a patriot and let him go.
And this war may not be as bad for you as you think. There are
women on the other side could tell you. Suppose, suppose you
never had to do another week’s baking and you were rested enough
to love the last baby as you did the first, and all the children could
have shoes when they needed them, and there was money enough
beside for a new spring hat and the right fixings to make you pretty
once more. So that your man coming back from the front when the
war is won, may fall in love with you all over again. No, it’s not
heaven I’m talking about. It’s here in a war-ridden world. This is no
fairy tale. It’s the truth in Britain and France, as it’s going to be in the
United States.
“Somewhere in England” Mrs. Black, when her country took up
arms in 1914, was as anxious and concerned as you are to-day. Her
man was a car-cleaner who earned 22 shillings a week on the Great
Western Railway. That seems appallingly little from our point of view.
But thousands of British working class families were accustomed to
living on such a wage. The Blacks had to. It is true there wasn’t
much margin for joy in it. And when the call to the colours came, it
was to Mr. Black an invitation to a Great Adventure. He enlisted.
Well, the first winter had not passed before it was demonstrated that
Mrs. Black and the children—there were five of them—were not
going to experience any new hardship because of the absence of the
head of the family in Flanders. By January she was saying hopefully
one morning across the fence to her neighbour in the next little
smoke-coloured brick house in the long dingy row: “If them that’s
makin’ this war’ll only keep it up long enough, I’ll be on my feet
again.”
To-day you may say that Mrs. Black is “on her feet.” There are
Nottingham lace curtains at her front windows as good as any in the
whole row of Lamson’s Walk. The new chest of drawers she’s
needed ever since she was married is a place to put the children’s
clothes. And it’s such a help to keeping the three rooms tidy. Santa
Claus came at Christmas with a graphophone. And you ought to see
Mrs. Black’s fur coat! Three other women who haven’t got theirs yet
were in the night she wore it home “just to feel the softness of it.”
Their hands, do you know, hands that are hard and grimy with
England’s black town soot, had never so much as touched fur
before! And they’re going to wear it soon, if this war keeps up. For
they’re all of them these new women in industry, like Mrs. Black.
Mrs. Black, to begin with, has her “separation allowance” because
her husband’s at the front. That’s 12 shillings and sixpence per week
for herself, 5 shillings for the first child, three shillings sixpence for
the second and 2 shillings for each subsequent child. Well, with the
five children, that makes 27 shillings a week coming in and there’s
none of it going to the Great Boar’s Head on the corner, which
always used to get a look-in on Mr. Black’s weekly wage envelope
before Mrs. Black did. Now, in addition to this 27 shillings a week,
which in itself is 5 shillings more than the family ever had before,
Mrs. Black is at the factory where she is making 30 shillings a week.
That’s 57 shillings a week, which is her household income more than
doubled. It’s why 60,000 fewer persons in London were in receipt of
poor relief in September, 1915, than in 1903, the previous most
prosperous year known to the Board of Trade. In the West End of
this town titled families are counting their “meatless” days. In the
East End, families are celebrating meat days that were never known
before the war. The Care Committee used to have to provide boots
for over 300 school children in this district. This year there was only
one family, the mother of which was ill, that needed boots!

RIGHT THIS WAY, LADIES, INTO INDUSTRY


Mrs. Lewis, this is the answer to your anxious inquiry: it’s
prosperity that’s coming to you. In every warring country there are
women of the working classes who have found it. You are going to
be mobilised for the army of industry as your husband for the other
army. Only there is no draft or conscription necessary. The recruiting
station is just down the street at the factory that recently hung out
that sign bright with new paint, “Women Wanted.” See them arriving
at the entrance gate. Fall in line, Mrs. Lewis, and get measured for
your new uniform. Yes, you are to have one. It’s some form of the
things they call trousers. But I’m sure you won’t mind that. Put it on.
Put it on quickly. In it you will find yourself the real new woman
whose coming has hitherto been only proclaimed or prophesied on
the waving banners of suffrage processions you’ve watched
parading on the avenues. You are She for whom the ages have
waited. This new garment they are handing you has the pocket in it
for a pay envelope. You who have been toiling for your board and
the clothes you could get after the rest of the family had theirs, are
now a labourer worthy of hire. Economic independence, the political
economists call it, as they take their pen in hand to make note of the
long lines of you going into industry, later to write their deductions
into scientific treatises about you.
Now, it may not particularly interest you that you are like this, a
phenomenon of the 20th century, but there are plainer terms that I
am sure you will understand. Listen, Mrs. Lewis: Every Saturday
night there is going to be money in your own pocket. The
convenience of this is that never again will you under any
circumstances have to go through any one’s else pockets for it. Do
you see? Right across those portals there where they want you so
much that every obstacle that used to be piled in your pathway has
been so surreptitiously carted away overnight, that you would hardly
believe it ever was there, lie all promised opportunities. Susan B.
Anthony pioneered for them. Mrs. Pankhurst smashed windows for
them. Mrs. Catt is even now politically campaigning for them. And
you, Mrs. Lewis, are to enter in. What will happen to you when
you’ve joined up with the new woman movement?
Let us look at the advance columns over on the other side. No one
met them with: “Woman, back to your kitchen!” Or, “This is
unscriptural and your habits of marriage and maternity will interfere
with shop routine.”
It was one of the most significant decisions of all time since the
day of the Cave Woman, that morning when Mrs. Black got her aunt
to come in to look after the children and, hanging up her gingham
apron, walked out of the kitchen. Women were doing it all over
Europe. They are to be counted now by the hundreds of thousands.
Altogether we know that they number in the millions although we
have not the exact returns from every country. By 1916 England had
enrolled in industry 4,086,000 women and Germany 4,793,472 of
whom 866,000 in England and 1,387,318 in Germany had never
before been gainfully employed outside their own homes. France,
Italy, Russia all have similar battalions. And the important fact is that
these new recruits are going into industry differently. Women before
had to push their way in. Women now are invited in.
Heretofore there were all the reasons in the world why a woman
should not work outside her own home. Three generations of
employment had not yet sufficed to efface the impression from the
minds even of most young girls themselves who went out to earn
their living that it was only a temporary expedient until they could
marry and be supported ever after. Even when they discovered after
marriage that they were still earning their own living just as much in
their husband’s kitchen as anywhere they had been before, public
opinion and the neighbours disapproved of their working for any one
outside their own family. Who, Madam, would sew on your husband’s
buttons? So strong was this sentiment that it even threatened to
crystallise on the statute books. There were districts in Germany and
in the North of England where they talked about passing a law
against the employment of the married woman. Then fortunately
about this time the world came to 1914 and the revolution of all
established thought.
Everybody sees now a reason why Mrs. Black should work. Her
country wants her to. And it has swept aside to the scrap-heap of
ancient prejudice all the other reasons against the industrial
employment of women. Among the rest, the most material reason,
the most real reason of all, that woman’s place was the home and
every other place was man’s. That was true. And it was one of the
most incontrovertible facts that each woman who sought
employment came up against. Industry had never been arranged for
her needs or her convenience.

MAKING INDUSTRY OVER FOR HER


Now it’s being made over, actually made over! Already woman
wins this victory in the Great War. Don’t we all of us know industries
where there hasn’t been so much as a nail to hang a woman’s hat
on, where it wouldn’t be spoiled, let alone a room in which she could
wash her hands, or change her working clothes? But go through
Europe now and you will scarcely find any place they haven’t tried
the best they could to fix up for woman’s occupancy. She shall have
the nicest hook that they can find to hang her hat on. She shall have
a whole cupboard, a locker to keep it in, if she’ll only put it there to-
day. And oh, ladies, all of you listen, there’s even a mirror to see if it’s
on straight! Just a little while ago I stood in a factory “somewhere in
France,” where they had built a beautiful retiring room with lavatories
and hot and cold water and a row of shining white enamelled sinks.
And one day of course some thoughtful woman had brought in her
handbag a piece from her cracked looking-glass and fastened it on
the wall between two tacks, you know the way you would? A little
later, the superintendent of the factory saw it there: “I sent right out,”
he told me himself with feeling, “and bought this one.” And he
showed me with pride the full length plate-glass mirror that hung on
the wall where the little old cracked looking-glass used to be. I think
every government in Europe now has mirrors listed among
“necessary supplies.” I mention it as significant of the anxious effort
to please the feminine fancy.
But the first most important thing that was done in making over
industry, was opening the door from the inside for Mrs. Black’s
arrival. Every door-keeper to-day has his instructions from higher up
not to keep the lady knocking out in the cold. Her coming was in the
first instance heralded in England, actually heralded with a flourish of
trumpets. That procession of 40,000 women that Mrs. Pankhurst led
down the Strand into industry, under the new standard, “For Men
Must Fight and Women Must Work,” had flags flying and bands
playing. And the English Government paid for the bands. Parliament
records show that this Suffrage procession was financed to the
extent of 3,000 pounds, which is $15,000. Has there ever been a
more revolutionary conversion than this to the Woman’s Cause? For
the first time in history, the woman movement is underwritten by
Government. It is with this support that it’s going strong all over the
world to-day.
The place that is being made for Mrs. Black and her
contemporaries is everywhere in the first instance at least, being
arranged through Government intervention. With every new push on
the front, the soldiers that go down in the awful battalions of death
have to be replaced by others, which means that more and more
men must be “combed out” of the shops back home. And to
employers governments have said: Hire women in their places.
To this employers answered as they have so many times to us
when we have asked to be hired: “But women don’t know how.”
You see, it has always been so difficult for us to learn. From the
bricklayers and the printers up to the medical men and the lawyers
and the ministers, there has always been that gentlemen’s
agreement in every trade: “Don’t let her in. And if she gets in, don’t
let her up, any higher up than you have to.”
But now over all the world, to every industry that shows a
slackening in production, there is issued one common government
General Order: “Teach the Women.” And the employer looks
questioningly toward the work bench at the figure in the leather
apron there, who in some of the most highly skilled trades, has
always threatened to take off that apron and walk out of the shop
when a petticoat crossed the threshold. There are shops in which
there has never been a woman apprentice, because he wouldn’t
teach her. Would he now?
The skilled workman was summoned in England to the Home
Office for a heart-to-heart talk with the Government. He came from
the cotton trade, the woollen and the worsted trade, the bleachers
and dyers’ trade, the woodworkers and furnishers’ trade, the biscuit
trade, the boot and shoe trade, the engineering trade and a great
many others. The Government spoke sternly of its power under
martial law. The skilled workman, shifting his cap from one hand to
the other, began to understand. But he still stubbornly protested:
“Women haven’t the mental capacity for my work.”
“We shall see,” said Government.
“But it will take so long to learn my trade, five years, six years,
seven years.”
“Ah, so it will. Very well, then, teach the women a part of your
trade at a time, a process in which instruction can be given in the
shortest length of time.”
“But the tools of my trade, they are heavy for a woman’s hands.”
“There shall be special tools made.”
And there have been. So, the now famous “dilution” of labour has
been arranged. Mrs. Black is “in munitions.” I saw her standing at a
machine that is called a capstan lathe, drilling the opening in a
circular piece of brass. There used to be employed in this shop,
1,500 men and the man power has been now so diluted, that there
are 200 men and 1,300 women. There are rows and rows of the
capstan lathes and down each alleyway, as the space between them
is called, there are lines of women like Mrs. Black. They have to start
the machine, to feed it, and control it, and stop it. In three weeks’
time most of them were able to learn these repetitive operations. But
they do not yet know how to take the machine apart or to fix it if
anything breaks. So up and down each row there goes a skilled man
who is still retained for this, a “setter-up,” he is called in the trade.
And to supervise each section there is a foreman. It was the foreman
who called my attention to the machines. “They are,” he said, “small
lathes, specially adapted to the women. We had them made in
America since the war.”

EASY ENOUGH TO ARRANGE


Like that you see, it is done. Sometimes to make over the job for
the woman, there was necessary only the simplest expedient like
adding the “flap” seat in the Manchester tram-cars for the woman-
conductor to rest between rush hours. Even in skilled trades it hasn’t
always been necessary to remodel an entire machine. Sometimes
only a lever has to be shortened. Sometimes it has been done by the
addition of “jigs and fixtures,” so that a process formerly involving
judgment and experience, is now automatically performed at a touch
from the operator. Are there heavy weights to be lifted? The paper
factories met the situation by reducing the size of the parcel. The
leather, tanning and currying trade put in special lifting tackle. The
chemical industries have trucks for transporting the heavy carboys.
The pottery and brick trades have trolleys. And the engineering
trade, for manipulating the heavy shells, has put in electrical cranes
and carriages: they are operated by a woman who sits in a sort of
easy chair from which she only lifts her hand to touch the right lever.
These and other innovations have been made in accordance with
a definite plan. You should hear it just the way a government says it:
“In considering the physical capacity of a woman factory worker,” the
Home Office directs, “it should be remembered that her body is
physiologically different from and less strongly built than that of a
man. It is desirable that the lifting and carrying of heavy weights and
all sudden violent or physically unsuitable movements in the
operation of machines should so far as practicable be avoided. Often
a simple appliance or the alteration of a movement modifies an
objectionable feature when it does not altogether remove it. When
standing is absolutely unavoidable, the hours and spells of

You might also like