Fpga/Ai-Powered Data Security For Iot Edge Computing Platforms: A Survey and Open Issues

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 12

FPGA/AI-Powered Data Security for IoT

Edge Computing Platforms: A Survey


and Open Issues

Cuong Pham-Quoc1,2(B)
1
Ho Chi Minh City University of Technology (HCMUT), 268 Ly Thuong Kiet,
District 10, Ho Chi Minh City, Vietnam
cuongpham@hcmut.edu.vn
2
Vietnam National University - Ho Chi Minh City (VNU-HCM),
Thu Duc, Ho Chi Minh City, Vietnam

Abstract. In recent years, the Internet of Things has been widely applied
in many application domains, such as monitoring environments, health-
care, or industry. Although design approaches, technologies, and frame-
works for IoT-based applications have been introduced efficiently, the secu-
rity issues for IoT-based systems still need more studies from academia and
industry. As one of the most suitable technologies for IoT edge comput-
ing devices, FPGAs offer many advantages compared to traditional pro-
cessors. Moreover, AI-based data processing for IoT systems has shown
more and more benefits in recent years. In this paper, we first present IoT
security threats that many studies have tried to cope with in recent years.
We then survey FPGA/AI-powered security proposals in the literature for
IoT edge computing platforms. We classify the studies on this topic into
three categories for comparison: FPGA-based security approaches, using
AI for security with traditional processors, and AI-based security building
on FPGA platforms. Finally, based on these proposals in the literature, we
introduce open issues for future research on this topic.

Keywords: FPGA · Secured IoT devices · Security for Edge


computing · AI-based security for IoTs

1 Introduction
According to the statistic in [37], there will be 75B+ IoT devices connected to the
internet in 2025. The statistic also reports that by 2023, up to 1.1 trillion USD
will be spent globally. Along with the increase in the number of IoT devices and
money spent, IoT-based application domains are also increased. For example,
the healthcare industry is one of the top domains using wearable IoT devices
for patient monitoring, such as blood pressure monitoring, connected inhaler,
surgery robots, and intelligent hearing aids [14]. A smart home is another domain
that mainly requires IoT devices for smart door locks, smart lights controllers,
surveillance videos, or smart appliances [18]. Recently, IoT platforms used for
smart cities have increased dramatically, including smart street and traffic lights
or air quality monitoring [7].
c The Author(s), under exclusive license to Springer Nature Switzerland AG 2023
N.-N. Dao et al. (Eds.): ICIT 2023, LNDECT 187, pp. 3–14, 2023.
https://doi.org/10.1007/978-3-031-46573-4_1
4 C. Pham-Quoc

Despite the success of IoT in many sectors, IoT devices and platforms suffer
from resource constraints and energy limitations. In addition, these devices usu-
ally need more security solutions to reduce building and operating costs. Hence,
consolidating IoT devices with security approaches is a strong demand [16].
However, the main requirement for these approaches is to deal with hardware,
networking, and software limitations.
In recent years, due to the high demand, many studies published in the litera-
ture have proposed numerous systems for protecting IoT devices and platforms.
They use different computing platforms such as micro-controllers/processors,
FPGAs (Field Programmable Gate Arrays), or ASICs (Application Specific
Integrated Circuits) using various techniques like AI (Artificial Intelligent) or
pattern-based. As one of the most suitable and modern platforms to overcome the
limitations of IoT devices, FPGA-based platforms have been used for deploying
IoT-based applications in recent years [4]. Therefore, this paper surveys studies
in the literature focusing on FPGA platforms and using AI approaches.

1.1 Related Work


As one of the most attractive research topics in IoT, security for IoT systems
has been considered in many studies. Recently published articles also survey IoT
security and privacy proposals in the literature. P. Williams et al. [40] presented
a survey of emerging technologies to countermeasure IoT threats focusing on
Machine learning and blockchain approaches. A survey of challenges and sources
of threats for IoTs was introduced in [15]. K. Najmi et al. [24] summarized threats
and countermeasures in IoT systems targeting confidentiality and reliability of
users. One of the oldest surveys of threats and vulnerabilities in the IoT world
and solutions was introduced in [2]. O. Abiodun et al. [1] reviewed the analyzed
IoT security requirements, current research challenges in security, and proposed
ideas for potential solutions. D. Swessi et al. [38] introduced a comprehensive
taxonomy of IoT security issues and countermeasures used for these threats. A
survey of AI-based intrusion detection approaches was presented in [9].

1.2 Contributions
Unlike the surveys mentioned above that focus on security threats and general
approaches for countermeasures to these threats, our work targets FPGA plat-
forms for deploying techniques to counteract data security issues. More precisely,
our survey focuses on specific systems designed and implemented for FPGA-
based IoT edge computing instead of general proposals like other surveys.
The main contributions of our paper can be summarized in three folds.
1. We summarize the surveys of IoT security in the literature;
2. We survey FPGA-based design and implementation for IoT security of edge
computing platforms with an emphasis on AI-based approaches, i.e., at the
platforms layer;
3. We present open issues and challenges to IoT security and threats at the
platforms layer.
FPGA/AI-Powered Security IoTs 5

1.3 Outline
The rest of the paper is organized as follows. Section 2 presents the security
background for IoT edge computing systems. We introduce FPGA-based security
for IoT edge devices studies in Sect. 3. Section 4 shows the AI-based security
studies for edge computing systems in the literature. Open issues for researching
FPGA/AI-powered security for edge devices are discussed in Sect. 5. Finally,
Sect. 6 concludes our paper.

2 Preliminary
In this section, we first present the IoT architecture layers and associated security
and threats. We then summarize the spectrum of publications’ sources where we
collect articles for this work.

2.1 IoT Layers and Threats


Currently, there is no standard architecture for IoT in which the architecture can
be classified into three layers, four layers, or six layers [33]. Therefore, we consider
the architecture of an IoT system as three layers. Figure 1 illustrates the 3-layer
architecture for an IoT system, including a platform layer with sensors and
computing boards, a storage and processing layer, and a users/administrators
layer for interacting with people. Each layer is responsible for particular purposes
of the system and is associated with potential threats. The figure also presents
potential security issues from which each layer suffers.

2.2 IoT Security vs. Traditional Security


IoT and conventional security are two domains that address security concerns
in distinct environments. Below, we list the six key issues of IoT security and
threats.
1. Scope: while traditional security primarily focuses on securing physical assets,
IoT security extends beyond physical assets to encompass a vast network of
interconnected devices, sensors, and data transmission.
2. Attack Surface: IoT security faces similar threats to traditional like unau-
thorized access, theft, vandalism, and physical breaches, but also deals with
unique challenges such as data interception, device manipulation, firmware
vulnerabilities, and distributed denial-of-service (DDoS) attacks.
3. Connectivity: IoT devices are designed to be connected to the internet,
enabling data exchange and remote control. This connectivity introduces
additional vulnerabilities, as IoT devices can be accessed from anywhere,
increasing the potential attack surface.
4. Scale and Complexity: IoT deployments often involve many devices, ranging
from small sensors to complex systems. The scale and complexity of IoT net-
works make security management more challenging than conventional security
setups.
6 C. Pham-Quoc

Users Admin

- DDoS
- Phishing
Users/Administrators Applications
- Side channel
- Virus/Trojan

- Encrypted data
- Authentication
Storage and processing (Cloud,
- Authorization
Servers,...)
- DDoS
- Devices cloned

- Encrypted data
- Authentication
Platform layers (sensors, FPGA boards,
- Authorization
MCU boards,...)
- DDoS
- Hardware trojan

IoT architecture layers Security & threats

Fig. 1. The IoT architecture layers and related security and threats

5. Authentication and Authorization: IoT security requires more advanced


authentication mechanisms than traditional, including digital certificates,
secure protocols, and multi-factor authentication, to establish trust and secure
communications between devices.
6. Data Privacy: Both traditional and IoT security address data privacy con-
cerns. However, IoT security faces additional privacy challenges due to the
massive confidentiality and integrity of sensitive data collected by intercon-
nected devices.

3 FPGA-Based Security for Edge Devices


In this section, we survey published literature work that proposes security solu-
tions at the platform layer targeting FPGA devices.
Samir et al. [31] present an implementation of eight different data encryp-
tion algorithms on FPGA. The work targets a lightweight hardware-secured IoT
computing note. The implementation is deployed in the Xilinx Zynq-7000 FPGA
devices that can function at only 10 MHz. Z. Chen et al. [8] present a clock-
tree-based approach for detecting hardware trojans by extracting mathematical
FPGA/AI-Powered Security IoTs 7

features. The trojans will then be isolated using a neural network. Experimen-
tal results on a Xilinx Virtex 7 board show that a detection rate of 100% is
obtained. Meenakshi et al. [22] fill up all unutilized logic so the devices are
trojan-free without any power consumption overhead and critical paths delay.
Various security techniques are implemented, and the dynamic partial reconfig-
uration (DPR) approach is used for randomly switching the techniques in [36].
Experimental results with a Xilinx Zynq-7000 board show that up to 80% power
consumption is reduced at 10 MHz frequency. Bhoyar D. et al. [3] implement a
128-bit AES with VHDL for the security of IoT data. The implementation is
simulated with ISIM. Parikibandla S. et al. [28] build the Lorenz Chaotic Cir-
cuit with Dual-port Read Only Memory-based PRESENT Algorithm on FPGA
Virtex-6 board for IoT sensor nodes. However, the paper does not report any
synthesis and experimental results. Sekar et al. [32] introduce an FPGA-based
Elliptic Curve Cryptography (ECC) implementation for multi-factor authenti-
cation in IoT applications. Experimental results with Verilog-HDL on the Zynq
FPGA board show that the proposed system can prevent multi-attacks. Cano-
Quiveu et al. [6] use SystemVerilog with the Nexys4DDR XC7Z020 FPGA board
for building the embedded LUKS for IoT security. The article also reports bet-
ter results than other related work. Lin et al. [20] introduce an FPGA-based
implementation of a secure edge computing device targeting data confidentiality.
The system is tested with the Altera Cyclone II DE2-70 board with a 50 MHz
working frequency. Gomes et al. [13] present a FAC-V coprocessor to acceler-
ate the AES algorithm for RISC-V processors targeting IoT low-end devices.
The proposed system is developed with a Xilinx XC7A100 device resulting in
a 65 MHz working frequency. Siva and Murugan show their work with low-area
FPGA-based AES implementation for IoT applications. One of the main con-
tributions in this work is the Efficient Pseudo Random Number Generator to
generate keys. The experimental system on a Xilinx Virtex 6 device offers a
working frequency of 335.45 MHz. Rajput et al. [30] implement the VLSI archi-
tectures of WiMax/IoT MAES security approaches for light cryptography with
reduced complexity. Experimental results with simulation show that the sys-
tem can work with 23 MHz. Damodharan et al. [10] propose implementing a
reliable, lightweight PRESENT encryption algorithm for medical IoT applica-
tions. Results with the Zynq-7000 FPGA board show an improvement of 85.54%
throughput with a frequency of 13.56 MHz. A lightweight IoT edge device with
ECC consolidated on FPGA is introduced in [19]. The secured device aim at a
combination of performance and resources. Experimental results with a Xilinx
Virtex 6 board offer a 117 MHz working frequency system.
Table 1 summarizes FPGA-based security for edge device proposals in the
literature. The table shows that most studies use Xilinx FPGA devices for their
prototypes. Working frequencies of these systems are pretty low except for work
in [35].
8 C. Pham-Quoc

Table 1. Comparison of the FPGA-based security for edge devices proposals

Work Approaches FPGA platform Frequency Year


[31] Various Zynq-7000 10 MHz 2019
[8] Clock-tree Xilinx Artix-7 N/A 2019
[22] Fill up LUTs Artix-7 FPGA N/A 2019
[36] Various + DPR Zynq-7000 10 MHz 2019
[3] AES 128 bit Simulation N/A 2020
[28] PRESENT MATLAB simulation N/A 2021
[6] LUKS Nexys4DDR XC7Z020 N/A 2021
[32] ECC Zynq-7000 N/A 2021
[20] XOR scheme-based Altera DE2-70 50 MHz 2021
[13] AES + RISC-V Xilinx XC7A100 65 MHz 2022
[35] AES Xilinx Virtex 6 335.45 MHz 2022
[30] WiMax/IoT MAES Simulation 23 MHz 2023
[10] PRESENT Zynq-7000 13.56 MHz 2023
[19] ECC Virtex 6 117 MHz 2023

4 AI-Based Security for Edge Devices


In this section, we survey AI-based security for edge devices, where we classify
the proposals into processor-based systems and FPGA-based implementations.

4.1 Processor-Based AI Approaches


Abebe et al. [11] design a distributed attack scheme with deep learning tech-
niques for IoT security. The proposed system is tested with the NSL-KDD
dataset and achieves up to 99.20% accuracy. The introduction of federated self-
learning anomaly detection in IoT networks using a self-generated dataset is
discussed in the paper [27]. An analysis of effective machine learning models
with a new BoT-IoT dataset is introduced in [34] for IoT attack prevention.
According to the comparisons, random forest, C4.5, and random tree achieve
the best results in terms of accuracy. In [39], researchers test various machine
learning algorithms on a generated dataset called MQTT to detect attacks in
IoT networks, achieving an accuracy of 98%. Likewise, in [23], a decentralized,
federated learning approach with an ensemble is proposed, combining long short-
term memory (LSTM) and gated recurrent units to enable anomaly detection.
Elsayed et al. [12] present an FPGA-based Secured Automatic Two-level Intru-
sion Detection System (SATIDS) using the Long Short Term Memory approach
with a new proposed dataset called ToN-IoT.
Deep learning algorithms have also been utilized to create detection models
from different IoT datasets. For example, authors in [21] construct deep belief
network models from the CICIDS 2017 dataset to classify regular records and six
FPGA/AI-Powered Security IoTs 9

attack types, achieving an average accuracy of 97.46%. The Yahoo web scope s5
dataset is employed in [41] for convolutional neural network (CNN) and recurrent
autoencoder algorithms. Lightweight detection models based on a deep autoen-
coder were generated from the Bot-IoT dataset by the authors in [5], achieving
the best setup F1-score of 97.61%. However, the hardware platform used for
experimentation is not mentioned. The dataset used in [29] is self-generated and
utilized in a graph neural network, resulting in a literature-reported accuracy of
up to 97%. Table 2 summarizes all the above proposals.

Table 2. Comparison of the processor-based AI approaches for security of edge devices

Work Dataset Accuracy Platform Year


[11] NSL-KDD 99.20 N/A 2018
[27] Self-generated 95.6% GPU 2019
[34] BoT-IoT 99.99% N/A 2020
[39] MQTTset 98.0% Intel 2020
[21] CICIDS 2017 99.4% Intel 2020
[41] Yahoo Webscope S5 99.6% Google Colab 2020
[23] Modbus 99.5% GPU 2021
[5] BoT-IoT 99.0% N/A 2021
[29] Self-generated 97.0% N/A 2021
[12] ToN-IoT 99.73% Intel 2023

4.2 FPGA-Based AI Approaches

A neural network implemented on FPGA SoC for network intrusion detection


targeting IoT gateway is presented in [17]. The work uses the NSL-KDD dataset
for training and testing and offers a 76 MHz working frequency with the Xilinx
Zynq Z-7020 device. The system achieves 80.52% accuracy. A neural network
model trained with GPU is implemented in [26]. The system is built on a Xilinx
PYNQ-Z2 board for the inference phase using the high-level synthesis approach.
Experimental results with the IoT-23 dataset show that the system achieves
104 MHz working frequency and 99.43% accuracy. Ngo et al. [25] improve their
previous work and present their updated system with an implementation of an
intrusion detection system on FPGA based on the IoT-23 dataset. The proposed
work is built on a Xilinx PYNQ-Z2 board to offer a 102 MHz working frequency
with up to 99.66% accuracy.
Table 3 summarizes the FPGA&AI-based proposed system for IoT security
in the literature. However, as the table shows, few studies exist on this topic
because AI approaches usually require substantial computational resources and
much knowledge in hardware architecture.
10 C. Pham-Quoc

Table 3. Comparison of the FPGA-based AI approaches for security of edge devices

Work Dataset Accuracy FPGA platform Frequency Year


[17] NSL-KDD 80.52% Xilinx Z-7020 76 MHz 2019
[26] IoT-23 99.43% Xilinx PYNQ-Z2 104 MHz 2021
[25] IoT-23 99.66% Xilinx PYNQ-Z2 102 MHz 2023

5 FPGA/AI-Powered Security for Edge Devices: Open


Issues
FPGA/AI-powered security for edge devices presents several open issues and
challenges. Here are some of the key ones:
1. Performance and Resource Constraints: Edge devices, such as IoT devices or
embedded systems, often have limited computational resources and power
constraints. Implementing FPGA-based security solutions while ensuring
minimal impact on device performance and energy consumption is a sig-
nificant challenge. Optimizing algorithms and hardware designs to strike a
balance between security requirements and resource limitations is crucial.
2. Design Complexity and Development Time: Designing and developing FPGA-
based security solutions require specialized skills and expertise. Creating effi-
cient hardware architectures, designing algorithms, and implementing AI-
based models on FPGAs can be complex and time-consuming. The challenge
lies in reducing development time and complexity while maintaining robust
security measures.
3. Hardware Security Assurance: Ensuring the security of the underlying FPGA
hardware is critical for FPGA/AI-powered security solutions. However,
FPGAs can be vulnerable to attacks, such as reverse engineering, side-channel
attacks, and tampering. Protecting the integrity and confidentiality of the
FPGA configuration, as well as implementing secure boot mechanisms, are
important challenges in this context.
4. Adaptability and Flexibility: Edge devices often operate in dynamic and
diverse environments, requiring adaptable and flexible security solutions.
FPGA-based security approaches should be capable of accommodating
changes in device configurations, network conditions, and security require-
ments. Ensuring the ability to update FPGA configurations or AI models on
the fly to address emerging threats is a challenge.
5. Model Robustness and Reliability: AI-powered security solutions heavily rely
on machine learning models for tasks such as anomaly detection, intrusion
detection, or malware classification. Ensuring the robustness and reliability
of these models is crucial, as they need to be resistant to adversarial attacks
and capable of handling real-world variations, noise, and evolving attack tech-
niques.
6. Scalability and Compatibility: Deploying FPGA/AI-powered security solu-
tions across a large number of diverse edge devices requires scalability and
FPGA/AI-Powered Security IoTs 11

compatibility considerations. Ensuring that the solutions can be easily inte-


grated with different hardware architectures, operating systems, and commu-
nication protocols is a challenge. Furthermore, accommodating the varying
computational capabilities and FPGA resources of different edge devices adds
complexity to the deployment process.
7. Interoperability and Standardization: Establishing interoperability standards
and frameworks for FPGA-based security solutions can simplify integration,
collaboration, and compatibility among different vendors and stakeholders.
However, achieving consensus on such standards and promoting their adop-
tion across the industry is an ongoing challenge.
8. Trust and Verification: Building trust in FPGA/AI-powered security solu-
tions is crucial, especially when deploying them in critical or sensitive appli-
cations. Ensuring the transparency, verifiability, and auditability of the imple-
mented security mechanisms and AI algorithms is an open issue. Developing
techniques for independent verification and validation of FPGA-based secu-
rity solutions can help establish trust among users and stakeholders.
Addressing these open issues requires collaborative efforts from FPGA manu-
facturers, AI researchers, security experts, and industry standardization organi-
zations. Continuous research, innovation, and the development of best practices
are necessary to enhance the security, performance, and usability of FPGA/AI-
powered security solutions for edge devices.

6 Conclusion
In recent years, the Internet of Things (IoT) has found widespread application
in various domains such as environmental monitoring, healthcare, and industry.
Despite the efficient introduction of design approaches, technologies, and frame-
works for IoT-based applications, there is still a need for extensive research on
the security aspects of these systems, both in academia and industry. Field-
Programmable Gate Arrays (FPGAs) have emerged as one of the most suitable
technologies for IoT edge computing devices, offering numerous advantages over
traditional processors. Additionally, the use of artificial intelligence (AI) for data
processing in IoT systems has demonstrated increasing benefits.
This paper begins by presenting the IoT security threats that have been
addressed in numerous studies conducted in recent years. Subsequently, a sur-
vey of FPGA/AI-powered security proposals for IoT edge computing platforms
is conducted. The studies in this area are classified into three categories for
comparative analysis, namely FPGA-based security approaches, the utilization
of AI for security in conjunction with traditional processors, and AI-based secu-
rity solutions implemented on FPGA platforms. Drawing upon these proposals
from the existing literature, we identify and discuss the open issues that warrant
further investigation in this field of research.

Acknowledgement. We acknowledge Ho Chi Minh City University of Technology


(HCMUT), VNU- HCM for supporting this study.
12 C. Pham-Quoc

References
1. Abiodun, O.I., Abiodun, E.O., Alawida, M., Alkhawaldeh, R.S., Arshad, H.: A
review on the security of the internet of things: challenges and solutions. Wireless
Pers. Commun. 119, 2603–2637 (2021)
2. Alaba, F.A., Othman, M., Hashem, I.A.T., Alotaibi, F.: Internet of things security:
a survey. J. Netw. Comput. Appl. 88, 10–28 (2017)
3. Bhoyar, D.B., Wankhede, S.R., Modod, S.K.: Design and implementation of AES
on FPGA for security of IOT data. In: Nain, N., Vipparthi, S.K. (eds.) ICIoTCT
2019. AISC, vol. 1122, pp. 376–383. Springer, Cham (2020). https://doi.org/10.
1007/978-3-030-39875-0 40
4. Biookaghazadeh, S., Zhao, M., Ren, F.: Are FPGAs suitable for edge com-
puting? In: USENIX Workshop on Hot Topics in Edge Computing (HotEdge
18). USENIX Association, Boston, MA, July 2018. https://www.usenix.org/
conference/hotedge18/presentation/biookaghazadeh
5. Bovenzi, G., Aceto, G., Ciuonzo, D., Persico, V., Pescapé, A.: A hierarchical hybrid
intrusion detection approach in IoT scenarios. In: GLOBECOM 2020–2020 IEEE
Global Communications Conference, pp. 1–7. IEEE (2020)
6. Cano-Quiveu, G., et al.: Embedded LUKS (E-LUKS): a hardware solution to IoT
security. Electronics 10(23), 3036 (2021)
7. Chaudhary, S., Johari, R., Bhatia, R., Gupta, K., Bhatnagar, A.: CRAIoT: concept,
review and application(s) of IoT. In: 2019 4th International Conference on Internet
of Things: Smart Innovation and Usages (IoT-SIU), pp. 1–4 (2019). https://doi.
org/10.1109/IoT-SIU.2019.8777467
8. Chen, Z., Guo, S., Wang, J., Li, Y., Lu, Z.: Toward FPGA security in IoT: a new
detection technique for hardware trojans. IEEE Internet Things J. 6(4), 7061–7068
(2019)
9. Da Costa, K.A., Papa, J.P., Lisboa, C.O., Munoz, R., de Albuquerque, V.H.C.:
Internet of things: a survey on machine learning-based intrusion detection
approaches. Comput. Netw. 151, 147–157 (2019)
10. Damodharan, J., Susai Michael, E.R., Shaikh-Husin, N.: High throughput present
cipher hardware architecture for the medical IoT applications. Cryptography 7(1),
6 (2023)
11. Diro, A.A., Chilamkurti, N.: Distributed attack detection scheme using deep learn-
ing approach for internet of things. Futur. Gener. Comput. Syst. 82, 761–768 (2018)
12. Elsayed, R.A., Hamada, R.A., Abdalla, M.I., Elsaid, S.A.: Securing IoT and SDN
systems using deep-learning based automatic intrusion detection. Ain Shams Eng.
J., 102211 (2023)
13. Gomes, T., Sousa, P., Silva, M., Ekpanyapong, M., Pinto, S.: FAC-V: an FPGA-
based AES coprocessor for RISC-V. J. Low Power Electron. Appl. 12(4), 50 (2022)
14. Hasan, M.: IoT in healthcare: 20 examples that’ll make you feel better,
2 April 2020. https://www.ubuntupit.com/iot-in-healthcare-20-examples-thatll-
make-you-feel-better. Accessed 22 May 2023
15. Hassija, V., Chamola, V., Saxena, V., Jain, D., Goyal, P., Sikdar, B.: A survey on
IoT security: application areas, security threats, and solution architectures. IEEE
Access 7, 82721–82743 (2019)
16. Hossain, M.M., Fotouhi, M., Hasan, R.: Towards an analysis of security issues,
challenges, and open problems in the internet of things. In: 2015 IEEE World
Congress on Services, pp. 21–28. IEEE (2015)
FPGA/AI-Powered Security IoTs 13

17. Ioannou, L., Fahmy, S.A.: Network intrusion detection using neural networks on
FPGA SoCs. In: 2019 29th International Conference on Field Programmable Logic
and Applications (FPL), pp. 232–238. IEEE (2019)
18. Lanner: Examples of IoT devices in your next smart home, 10 September
2018. https://www.lanner-america.com/blog/5-examples-iotdevices-next-smart-
home. Accessed 22 May 2023
19. Lin, J.L., Zheng, P.Y., Chao, P.C.P.: A new ECC implemented by FPGA with
favorable combined performance of speed and area for lightweight IoT edge devices.
Microsyst. Technol., 1–10 (2023)
20. Lin, W.C., Huang, P.K., Pan, C.L., Huang, Y.J.: FPGA implementation of mutual
authentication protocol for medication security system. J. Low Power Electron.
Appl. 11(4), 48 (2021)
21. Manimurugan, S., Al-Mutairi, S., Aborokbah, M.M., Chilamkurti, N., Ganesan,
S., Patan, R.: Effective attack detection in internet of medical things smart envi-
ronment using a deep belief neural network. IEEE Access 8, 77396–77404 (2020)
22. Meenakshi, S., Nirmala Devi, M.: Configuration security of FPGA in IoT using
logic resource protection. In: Sengodan, T., Murugappan, M., Misra, S. (eds.)
Advances in Electrical and Computer Technologies: Select Proceedings of ICAECT
2021, pp. 625–633. Springer, Singapore (2022). https://doi.org/10.1007/978-981-
19-1111-8 47
23. Mothukuri, V., Khare, P., Parizi, R.M., Pouriyeh, S., Dehghantanha, A., Srivas-
tava, G.: Federated-learning-based anomaly detection for IoT security attacks.
IEEE Internet Things J. 9(4), 2545–2554 (2021)
24. Najmi, K.Y., AlZain, M.A., Masud, M., Jhanjhi, N., Al-Amri, J., Baz, M.: A survey
on security threats and countermeasures in IoT to achieve users confidentiality and
reliability. Mater. Today Proc. (2021)
25. Ngo, D.M., et al.: HH-NIDS: heterogeneous hardware-based network intrusion
detection framework for IoT security. Future Internet 15(1), 9 (2023)
26. Ngo, D.M., Temko, A., Murphy, C.C., Popovici, E.: FPGA hardware acceleration
framework for anomaly-based intrusion detection system in IoT. In: 2021 31st
International Conference on Field-Programmable Logic and Applications (FPL),
pp. 69–75. IEEE (2021)
27. Nguyen, T.D., Marchal, S., Miettinen, M., Fereidooni, H., Asokan, N., Sadeghi,
A.R.: Dı̈ot: a federated self-learning anomaly detection system for IoT. In: 2019
IEEE 39th International Conference on Distributed Computing Systems (ICDCS),
pp. 756–767. IEEE (2019)
28. Parikibandla, S., Sreenivas, A.: FPGA performance evaluation of present
cipher using LCC key generation for IoT sensor nodes. In: Chowdary, P.S.R.,
Chakravarthy, V.V.S.S.S., Anguera, J., Satapathy, S.C., Bhateja, V. (eds.) Micro-
electronics, Electromagnetics and Telecommunications. LNEE, vol. 655, pp. 371–
379. Springer, Singapore (2021). https://doi.org/10.1007/978-981-15-3828-5 39
29. Protogerou, A., Papadopoulos, S., Drosou, A., Tzovaras, D., Refanidis, I.: A graph
neural network method for distributed anomaly detection in IoT. Evol. Syst. 12,
19–36 (2021)
30. Rajput, G.S., Thakur, R., Tiwari, R.: VLSI implementation of lightweight cryp-
tography technique for FPGA-IoT application. Mater. Today Proc. (2023)
31. Samir, N., et al.: ASIC and FPGA comparative study for IoT lightweight hardware
security algorithms. J. Circuits Syst. Comput. 28(12), 1930009 (2019)
32. Sekar, S.R., Elango, S., Philip, S.P., Raj, A.D.: FPGA implementation of ECC
enabled multi-factor authentication (E-MFA) protocol for IoT based applications.
14 C. Pham-Quoc

In: Arunachalam, V., Sivasankaran, K. (eds.) ICMDCS 2021. CCIS, vol. 1392, pp.
430–442. Springer, Singapore (2021). https://doi.org/10.1007/978-981-16-5048-
2 34
33. Sethi, P., Sarangi, S.R.: Internet of things: architectures, protocols, and applica-
tions. J. Electric. Comput. Eng. 2017 (2017)
34. Shafiq, M., Tian, Z., Sun, Y., Du, X., Guizani, M.: Selection of effective machine
learning algorithm and Bot-IoT attacks traffic identification for internet of things
in smart city. Futur. Gener. Comput. Syst. 107, 433–442 (2020)
35. Siva Balan, N., Murugan, B.: Low area FPGA implementation of AES architecture
with EPRNG for IoT application. J. Electron. Test. 38(2), 181–193 (2022)
36. Soliman, S., et al.: FPGA implementation of dynamically reconfigurable IoT secu-
rity module using algorithm hopping. Integration 68, 108–121 (2019)
37. Statista Research Department: Internet of things - number of connected
devices worldwide 2015–2025 (2016). https://www.statista.com/statistics/471264/
iot-number-of-connected-devices-worldwide/. Accessed 1 Apr 2023
38. Swessi, D., Idoudi, H.: A survey on internet-of-things security: threats and emerging
countermeasures. Wireless Pers. Commun. 124(2), 1557–1592 (2022)
39. Vaccari, I., Chiola, G., Aiello, M., Mongelli, M., Cambiaso, E.: MQTTset, a new
dataset for machine learning techniques on MQTT. Sensors 20(22), 6578 (2020)
40. Williams, P., Dutta, I.K., Daoud, H., Bayoumi, M.: A survey on security in internet
of things with a focus on the impact of emerging technologies. Internet Things 19,
100564 (2022)
41. Yin, C., Zhang, S., Wang, J., Xiong, N.N.: Anomaly detection based on convolu-
tional recurrent autoencoder for IoT time series. IEEE Trans. Syst. Man Cybern.
Syst. 52(1), 112–122 (2020)

You might also like