Quartus IIhelp 1

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 11

7.2.

2 Quartus Ⅱ的设计方法

随着可编程逻辑器件应用的日益广泛,许多 IC 制造厂家涉足 PLD/FPGA 领域。目前世界


上有十几家生产 CPLD/FPGA 的公司,最大的三家是:Altera,Xilinx,Lattice,其中 Altera
和 Xilinx 占有了 60%以上的市场份额。
Altera 公司是全球最大的可编程逻辑器件供应商之一。主要产品有:MAX3000/7000,
MAX Ⅱ,FLEX6000,FLEX8000,APEX20K,ACEX1K,Cyclone,Stratix,Cyclone Ⅱ,Stratix
Ⅱ等。Altera 公司针对 FPGA/CPLD 器件推出了相应的设计软件,目前主要是第三代的 MAX
+plus Ⅱ和第四代的 Quartus Ⅱ。作为 Altera 公司的最新一代集成设计环境,Quartus Ⅱ
支持 Altera 公司目前流行的所有主流 FPGA/CPLD 的设计开发,并引入了一系列的新特性,
如支持 RLT View,综合效率更高,可以进行功耗估算等等。本节主要介绍 Quartus Ⅱ 4.0
的基本设计方法。主要分以下几个步骤:
(1)介绍 Quartus Ⅱ的设计环境;
(2)介绍 Quartus Ⅱ的原理图输入和 VHDL 输入设计方法;
(3)使用 Quartus Ⅱ逻辑仿真工具进行时序仿真;
(4)介绍可编程逻辑器件的下载(编程或配置) 。

图 7-45 Quartus Ⅱ运行界面


1.Quartus Ⅱ的设计环境
图 7-45 是 Quartus Ⅱ 4.0 运行界面,点击菜单“Tool”-“Customize...”可将 Quartus
Ⅱ的运行界面可以设置成 MAX+plus Ⅱ界面,最左边的为“Quartus Ⅱ”菜单,可以完成新
建文件、工程、编译、仿真、下载等操作,第二项“File”菜单可以完成有关文件、工程的
打开、新建、保存等操作,第三项“Edit”
菜单可以完成文件的修改、编辑等操作,
第四项“View”菜单可以完成有关视图
的一些操作,第五项“Project”菜单可
以完成有关工程的一些操作,如向工程
中添加或删除文件等,第六项
“Assignments”菜单可以完成器件的选
择、引脚锁定、综合时的优化、约束的设置等,第七项“Processing”菜单可以启动编译、
仿真等操作,第八项“Tools”菜单可以打开相关的调试工具,各项菜单的具体应用将在下
面结合实例进行介绍。
2、Quartus Ⅱ设计输入
Quartus Ⅱ的任何一项设计都是一项工程(Project),都必须首先为此工程建立一个
放置与此工程相关的所有文件的文件夹,此文件夹将被 Quartus Ⅱ默认为工作库(Work
Library)。一般地,不同的设计项目最好放在不同的文件夹中。建立了文家后就可以通过
Quartus Ⅱ的文本编辑器或原理图编辑器输入设计源文件,下面分别介绍: 图
7-46 选择编辑文件的语言类型
(1)原理图输入
① 新建一个文件夹
利用 Windows 资源管理器新建一个文件夹,注意文件夹不能用中文,例如 E:\Test。
② 输入设计原理图
打开 Quartus Ⅱ,选择菜单“File”→“New”,在弹出的窗口的“Device Design Files”
中选择选择要创建的文件类型,如图 7-46 所示。选择“Block Diagram/Schematic File”,
在原理图输入环境中右键,在弹出的菜单中选择“Insert”→“Symbol…”(如图 7-47 所
示),则会出现如图 7-48 所示的元件输入对话框。在“Libraries”中选择不同的原理图库,
再选择合适的元件,点击“OK”即可输入该元件。

图 7-47 在右键菜单中打开原理图输入对话框
图 7-48 元件输入对话框
按照上述方法输入原理图,为简单起见,以一位二进制半加器为例,输入设计原理图,
并将输入、输出引脚分别改名为 a、b、co、so,如图 7-49 所示。
③ 文件存盘
选择菜单“File”→“Save As...”,保存输入的设计,将文件存到新建的文件夹,文
件名为 HalfAdd.bdf,注意文件的后缀是“.bdf”。在弹出的“Do you want to create a new
project with this file?”对话框中选“否”,选是则可以创建新的工程。
(2)文本输入
以 VHDL 语言为例,文本输入时在图 7-3 中选“VHDL File”,然后在文本编辑器中输入
VHDL 源程序,保存时注意后缀为“.vhd” 。

图 7-49 一位半加器原理图输入结果
3.创建工程
下面介绍利用“New Project Wizard”创建半加器的设计工程,并设定该工程的一些相
关信息,如工程名、目标器件、综合器、仿真器等。步骤如下:
(1)打开建立新工程管理窗口
选择菜单“File”→“New Project Wizard”,即弹出工程设置对话框,点击“Next”
图 7-50 利用“New Project Wizard”创建工程
出现如图 7-50 所示的界面,第一行表示工程所在的工作库文件夹;第二行的 HalfAdd 表示
此项工程的工程名,第三行是顶层文件的实体名,输入 HalfAdd。
(2)向工程中添加设计文件
点击“next”按钮,出现图 7-51 所示对话框,点击“Add...”按钮,将设计存盘的
HalfAdd.bdf 文件添加到工程中,也可以直接点击“Add All”按钮,此时将该工程目录下
的所有设计文件都添加到工程中。

图 7-51 将设计文件加入此工程
(3)选择综合器和仿真器类型
点击图 7-8 中的“Next”按钮,此时会弹出选择综合器和仿真器类型的窗口。按默认
都选择“NONE”,表示选择 Quartus Ⅱ中自带的仿真器和综合器。
(4)选择目标芯片
再次点击“Next”按钮,出现选择目标芯片窗口,在“Family”栏选择芯片系列,由
于本设计很简单,此处选“MAX7000S”,并选此栏下的“Yes”,表示选择一个确定的目标器
件。
点击“Next”按钮,选择此系列的具体芯片:EPM7064SLC44-10,点击“Next”按钮,
出现该工程的设置统计窗口,如图 7-52 所示。
(5)完成创建工程
点击“Finish”按钮,即完成半加器的工程设置。如图 7-53 所示。

图 7-52 工程设置统计窗口

图 7-53 完成工程设置
4.编译工程前的设置
在对工程进行编译前,必须作好必要的设置,具体如下:
(1)选择目标芯片
除在创建工程时可以选择目标芯片外,还可以通过菜单选择目标芯片。选择
“Assignments”菜单中的“Device...”项,可以进行目标期间的选择。 (图 7-54)
(2)选择目标器件的编程配置方式
点击图 7-54 中的“Device & Pin Option”进入选择窗,对 FPGA,应在“Configuration”
项中对其配置方式进行选择,对 CPLD,可以“General”项中设置是否加密。
(3)选择目标器件闲置引脚的状态
在“Unused Pins”项,可选择目标器件闲置引脚的状态。
图 7-54 目标器件的选择窗口
(4)编译模式的选择
在图 7-11 中选择“Compilation Process”,为了使每一次重复编译速度更快,选择“Use
Smart Compilation”。
5.编译工程
Quartus Ⅱ下的编译包括对设计输入的多项处理操作,其中包括排错、数据网表提取、
逻辑综合、适配、装配文件征生成以及基于目标器件的工程时序分析等。选择“Processing”
菜单下的“Start Compilation”项,启动全程编译。编译完成后的界面如图7-55所示。
编译完成后用户可以查看编译结果,如可以查看编译报告、了解工程的时序报告以及硬
件资源利用情况等,以便对设计进行分析。
图 7-55 编译完成后的 Quartus Ⅱ界面
6.仿真
仿真是对项目进行一次全面的测试,以确保设计项目的功能和时序特性与原设计相吻
合。具体步骤如下:
(1)建立波形文件
选择“File”→“New...”,在“New”
窗口中选“Other Files”中的“Vector
Waveform File”(图 7-56),点击“OK”,即
出现空白的波形编辑器,将该文件以默认
文 件 名 “ HalfAdd.vwf ” 保 存 入 文 件 夹
E:\Test 中
(2)设置仿真时间区域
选择“Edit”菜单中的“End Time”
项,在弹出的窗口中的 Time 窗中输入 100,
单位选“uS” ,点击“OK” ,结束设置。
(3)输入信号节点
选择“View”→“Utility Windows”
→“Node Finder”项,出现如图 7-57 所
示的窗口。 图 7-56 新建波形文件
在“Filter”选“Pins:all”,单击“List”按钮,即可显示工程中所有端口引脚名称,
用鼠标将仿真过程中需要用到的端口节点一一拖到波形编辑窗口,如图 7-58 所示。

图 7-57 Node Finder 窗口

图 7-58 调入了信号节点的 Quartus Ⅱ界面


(4)编辑输入波形
利用 Quartus Ⅱ提供的波形编辑器(Waveform Editor)
,可以很方便的编辑输入信号
的波形,本例是利用波形编辑器中的 “Overwrite Clock” 工具,直接产生周期性的方波,
如图 7-59 所示。

图 7-59 利用波形编辑器编辑输入波形
(5)仿真器仿真参数设置
在图 7-54 中选择“Simulator”项可以对仿真器的仿真参数进行设置,此处不作修改,
按默认设置。
(6)启动仿真器进行仿真
选择菜单“Processing”→“Start Simulation”,直到出现“Simulation was successful”
窗口,仿真结束。
(7)仿真结果的观察
选择菜单“Processing”→“Simulation Report”项,以便打开仿真结果的波形输出
文件。
(注意:Quartus Ⅱ的波形编辑文件与波形仿真报告文件是分开的,这一点与 MAX+plus
Ⅱ不同。)如图 7-60 所示。

图 7-60 仿真结果
7.引脚锁定和下载
(1)引脚锁定
在菜单“Assignments”中选择“Assign Pins”项,弹出引脚锁定窗口如
图 7-61 引脚锁定窗口
图 7-61 所示,要将 so 锁定在第 8 脚,操作如下:首先在“Available Pins & Existing”
窗口中选择第 8 脚,再点击“Pin name”栏右侧的“...”,将出现 “Nodes Finder”窗口
(图 7-62),在该窗口中选择 so,再点击“OK”,于是回到图 7-62 所示窗口,点击“Add”
按钮,即可将“so”锁定在第 8 脚上。所有引脚锁定后,点击“OK”按钮,关闭引脚锁定窗
口。如图 7-63 所示,可见在各输入输出端出现了相应的锁定引脚信息。

图 7-62 选择待锁定的引脚

图 7-63 完成引脚锁定后的界面
(2)打开编程窗口
引脚锁定后,要重新编译一次,将引脚锁定信息编译进下载文件中。然后将系统连接好,
上电,在菜单“Tools”中,选择“Programmer” ,弹出如图 7-20 所示编程窗口。
(3)设置编程下载接口方式
点击图 7-64 中的“Hardware Setup”按钮,出现“Hardware Setup”窗口(图 7-65),
点击“Add Hardware...”
,出现“Add Hardware”(图 7-65)。按图 7-65 所示选择设置,点
击“OK”,如图 7-66,在“Available hardware items”窗中选中“ByteBlaster”
,单击“Select
Hardware”按钮,关闭“Hardware Setup”对话框,完成编程下载接口方式的设置。

图 7-64 编程窗口

图 7-65 编程硬件设置窗口 1
图 7-66 编程硬件设置窗口 2
(4)对器件编程
如 图 7-67 所 示 , 下 载 模 式 选 “ JTAG ”, 勾 选 “ Program/Configure ”、“ Verify ”、
“Blank-Check”条目,点击“Start”按钮,即可完成对目标器件进行编程。

图 7-67 对器件编程

You might also like