Download as pdf or txt
Download as pdf or txt
You are on page 1of 52

IEEE Electron Devices Magazine

T
he IEEE Electron Devices Magazine focuses on the publication of peer-reviewed tutorial

also include articles dealing with environmental, societal, and humanitarian issues. Besides,
columns by renowned experts are included, dealing with educational, industrial, and open topics
and sharing personal opinions in a compact format. Also, news related to the Electron Devices
Society are displayed in the magazine, including the President’s Column and Conference reports.
Most issues of the Electron Devices Magazine include a “focus section,” in which the technical
features are arranged by the guest editor. Each issue will be displayed in light of the given focus topic,
thus guest editors will be appointed far in ad
azine also contains contributed technical articles on all topics related to the ld of electron devices.
However, authors are advised to try matching their submission to the Editorial Calendar, though
this is not a strict requirement.

INFORMATION FOR AUTHORS


Developing an article for the magazine is rather different from writing for a technical journal.
Unlike papers in IEEE Transactions, Journals and Letters, they do not necessarily need to present
original research. The aim should be at comprehensive information, review, and education about
a selected topic in a language and style that is appealing to the non-expert reader as well as to the
specialist.
Submit your article using the IEEE ED-M Author Portal.

INFORMATION AND CALL FOR GUEST EDITORS


Guest editors are either invited or selected from nominations and self-nominations, which can
be directed to ed-m-editor@ieee.org. They will work closely together with a Section Editor (SE)
towards a high-quality focus section. Guest editors are appointed well in advance to allow for a suf-
y far-reaching Editorial Calendar.

EDITORIAL CALENDAR
The following IEEE Electron Devices Magazine focus sections are in the planning stage and may be
subject to change:

June 2024 Large-Area and Flexible Electronics—Guest Editors:


Sean Garner and Luisa Petti
September 2024 Humanitarian Impact of Electron Device Applications—Guest Editor:
Fernando Guarin
December 2024 Power Semiconductor Devices: from Silicon to Wide-Gap—Guest Editor:
Giovanni Ghione

OPEN CALL FOR COLUMNISTS


The IEEE Electron Devices Magazine invites submissions to an open column. Anyone can submit
here a personal view on a topic of common interst to most E-DM readers. Please send our proposals
and submissions directly to the Editor-in-Chief, ed-m-editor@ieee.org.

Digital Object Identifier 10.1109/MED.2024.3372667


\\ CONTENTS MARCH 2024
VOLUME 2
NUMBER 1

\ \ FEATURES

8 EXPOSURE TOOL DEVELOPMENT TOWARD


ADVANCED EUV LITHOGRAPHY
A Journey of 40 Years Driving Moore’s Law
Jan van Schoot
\ \ DEPARTMENTS & COLUMNS
23 THE EVOLVEMENT OF LITHOGRAPHY
OPTICS TOWARDS ADVANCED
EUV LITHOGRAPHY 3 FROM THE EDITOR’S DESK

Let’s Go for Volume 2!
Enabling the Continuation of Moore’s Law
for Six Decades 4 PRESIDENT’S COLUMN
Winfried Kaiser 
Electron Devices: Celebrating the Past,
Illuminating the Future

35 PATTERNING INFRASTRUCTURE 6 WOMEN IN ELECTRON DEVICES


DEVELOPMENT FOR ADVANCED 
My Story
EUV LITHOGRAPHY
Continuing Dimensional Scaling Through
EUV Lithography to Support Moore’s Law 46 EDUCATOR’S DESK
Kurt Ronse What It Takes to Be a Great Mentor

On the Cover
EUV semiconductor wafer
exposure, artist impression
©ASMR

Digital Object Identifier 10.1109/MED.2024.3372669

IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024 1


ELECTRON DEVICES SOCIETY VICE PRESIDENT OF REGIONS/ 2025 TERM Douglas P. Verret
CHAPTERS Constantin Bulucea (2) E-mail: dougverret@gmail.com
PRESIDENT
Bin Zhao Benjamin Iniguez Daniel Camacho (2) Bin Zhao
Freescale Semiconductor University Rovira i Virgili John Dallesasse (2) E-mail: bin.zhao@ieee.org
E-mail: bin.zhao@ieee.org E-mail: benjamin.iniguez@ Mario Lanza (2)
urv.cat Lluis Marsal (1) IEEE PUBLISHING OPERATIONS
PRESIDENT-ELECT Geok Ng (2)
VICE PRESIDENT OF STRATEGIC AndreAnna McLean
Arokia Nathan Mayank Shrivastava (1)
DIRECTIONS Managing Editor II
University of Cambridge
John Dallessase Katie Sullivan
E-mail: an299@cam.ac.uk 2026 TERM
University of Illinois at Harshit Agarwal (1) Senior Manager Journals
TREASURER
Urbana-Champaign Luisa Petti (1) Production
Roger Booth E-mail: jdallesa@illinois.edu Xiojun Guo (2) Gail A. Schnitzer
Qualcomm Francesca Iacopi (2) Associate Art Director
VICE PRESIDENT OF TECHNICAL
E-mail: boothrog@yahoo.com Meikei Ieong (2)
ACTIVITIES (MAINSTREAM) Theresa L. Smith
Huaqiang Wu Andreas Kerber (1)
SECRETARY
Production Coordinator
Tsinghua University P. Susthitha Manon (2)
Murty Polavarapu Camilo Velez (1) Felicia Spagnoli
Email: wuhq@tsinghua.edu.cn Advertising Production Manager
Space Electronics Solutions
E-mail: murtyp@ieee.org VICE PRESIDENT OF TECHNICAL MAGAZINE EDITORIAL STAFF Peter M. Tuohy
ACTIVITIES (INTERDISCIPLANARY) EDITOR-IN-CHIEF Director, Production Services
JR. PAST PRESIDENT Chen Yang Joachim N. Burghartz
Ravi Todi Kevin Lisankie
Analog Devices, Inc. IMS CHIPS
Western Digital Director, Editorial Services
Email: chen.yang@analog.com E-mail: ed-m-editor@ieee.org
E-mail: rtodi@ieee.org Dawn M. Melley
VICE PRESIDENT OF TECHNICAL IEEE ELECTRON DEVICES Senior Director, Publishing
VICE PRESIDENT OF EDUCATIONAL ACTIVITIES (NEW INITIATIVES) MAGAZINE ADVISORY BOARD Operations
ACTIVITIES Merlyne de Souza CHAIR
Mansun Chan University of Sheffield CONTRIBUTIONS WELCOME
Giovanni Ghione
HKUST Email: m.desouza@
E-mail: mchan@ust.hk Readers are encouraged to submit
sheffield.ac.uk COMMITTEE MEMBERS
news items concerning the Society and
John Dallesasse
VICE PRESIDENT OF MEETINGS its members. Please send your ideas/
EDS BOARD OF GOVERNORS E-mail: jdallesa@illinois.edu
AND CONFERENCES articles directly to either Editor-in-Chief
Shuji Ikeda (BOG) ELECTED MEMBERS- Giovanni Ghione or the Regional Editor for your region.
Tei Solutions, Co. Ltd. AT-LARGE E-mail: giovanni.ghione@ The e-mail addresses of all Regional
E-mail: shu.ikeda.austin@gmail.com Elected for a three-year term polito.it Editors are listed on this page. E-mail
(maximum two terms) with ‘full’ is the preferred form of submission.
voting privileges M. K. Radhakrishnan
VICE PRESIDENT OF MEMBERSHIP E-mail: radhakrishnan@ieee.org
AND SERVICES
Durga Misa 2024 TERM Manoj Saxena
NJIT Mario Aleman (1) E-mail: msaxena@ieee.org
E-mail: dmisra@njit.edu Paul Berger (2) Ravi M. Todi
Yogesh Chauhan (1) E-mail: rtodi@ieee.org
VICE PRESIDENT OF PUBLICATIONS Merlyne De Souza (2)
AND PRODUCTS Patrick Fay (2) Daniel Tomaszewski
Giovanni Ghione Kazunari Ishimaru (2) E-mail: daniel.tomaszewski@
Politecnico di Torino William Nehrer (2) imif.lukasiewicz.gov.pl
E-mail: giovanni.ghione@polito.it

Digital Object Identifier 10.1109/MED.2024.3372671

IEEE Electron Devices Society Magazine (ISSN 2832-7683) is published in June, the code is paid through the Copyright Clearance Center, 222 Rosewood Drive, Dan-
September, and December by the Institute of Electrical and Electronics Engineers, Inc. vers, MA 01970 U.S.A. For other copying, reprint, or republication permission, write
Headquarters: 3 Park Avenue, 17th Floor, New York, NY 10016. Responsibility for the to: Copyrights and Permissions Department, IEEE Service Center, 445 Hoes Lane,
contents rests upon the authors and not upon the IEEE, the Society, or its members. To Piscataway NJ 08854, U.S.A. Copyright © 2024 by The Institute of Electrical and
order individual copies for members and nonmembers, please e-mail the IEEE Con- Electronics Engineers, Inc. All rights reserved. Periodicals postage paid at New York,
tact Center at contactcenter@ieee.org. Member and nonmember subscription prices NY, and at additional mailing offices. Postmaster: Send address changes to IEEE
available on request. Copyright and Reprint Permissions: Abstracting is permitted Electron Devices Magazine, IEEE, 445 Hoes Lane, Piscataway, NJ 08854 U.S.A.
with credit to the source. Libraries are permitted to photocopy beyond the limits of the IEEE prohibits discrimination, harassment and bullying. For more information visit
U.S. Copyright law for private use of patrons provided the per-copy fee indicated in http://www.ieee.org/nondiscrimination. Printed in U.S.A.

2 IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024


FROM THE EDITOR’S DESK \\
By Joachim Burghartz
Editor-in-Chief

Let’s Go for Volume 2!

W
elcome to the second vol- of ASML and by Winfried Kaiser of 2024), and on power semiconductor
ume of the IEEE Electron Carl Zeiss; Kurt Ronse of Interuniver- devices (December 2024). We are still
Devices Magazine! After sity Microelectronics Centre addresses welcoming submissions of excellent
a successful launch last the second aspect. More- contributed articles and columns relat-
year with its first three over, John Cressler shares ed to those topics.
issues, we now enter our his view on what it takes We have slightly revised our Guide-
second year, a full year to become a great teacher lines for Authors. In the future we want
with four issues. in an “Educator’s Desk” to give preference to papers not exceed-
In No. 1, we catch up column. In the “Women ing six printed pages in total. This will
with the topic of semi- in Electronic Devices” allow us to publish more articles and
conductor manufacturing column, Mukta Farooq, columns, particularly from contributed
from the previous issue the first female engineer submissions. Submissions can be on any
in December 2023 and to win the prestigious topic; we may publish articles that have
focus on the art of pho- J.J. Ebers Award of the successfully passed peer review either
tolithography from the perspective of Electron Devices Society, tells about next to one of the feature articles or by
equipment manufacturing and pro- her career path to become a leader in using them as an initiation of a new fea-
cess integration. On the first aspect microelectronics. ture topic.
we have two articles, by Jan van Schoot The next three issues will focus at We hope that you will enjoy the
large-area and flexible electronics (June present issue of the IEEE Electron
2024), the humanitarian impact of elec- Devices Magazine.
Digital Object Identifier 10.1109/MED.2024.3372348
Date of current version: 21 March 2024 tron device applications (September 

2832-7683/24©2024IEEE IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024 3


\\ PRESIDENT’S COLUMN
By Bin Zhao
IEEE Electron Device Society President

Electron Devices: Celebrating the Past, Illuminating the Future

T
his year, 2024, marks the 140th nical disciplines: from material science, reliable solutions. The efficiency of
anniversary of IEEE, an organiza- solid-state physics, quantum mechan- converting and safely operating renew-
tion that has been at the forefront ics, chemistry, chemical engineering, able energy sources, such as solar
of transformative break- and mechanical engineer- energy, has become a focal point, driv-
throughs, fundamentally ing to device architecture ing the development of new materials
changing human life. and modeling, fabrication and devices.
Within this incredible and process engineering, Interdisciplinary research is gain-
140-year history of tech- characterization and reli- ing prominence as the boundaries
nological innovations and ability, and the design and among information technology, intel-
advancements, the realm implementation of circuits ligent transportation, environmental
of electron devices has and systems. This diversity engineering, nanotechnology, biology,
played a pivotal role. Just is a testament to the funda- biomedical technology, healthcare, and
last year, we celebrated a mental and interdisciplinary traditional electrical and electronic engi-
significant milestone: the nature of electron devices, neering blur. This intersection creates
75th anniversary of the transistor. This continually evolving to meet the demands new opportunities for the development
invention has been the cornerstone of of our technologically advancing world. of innovative electron devices and tech-
the electronics and digital world, shap- The sustained need for high-speed nologies that can address complex chal-
ing our life through advancements in computing, greater communication band- lenges in diverse fields.
high-performance computing, Internet width, and expanded digital storage In the realm of emerging devices,
connectivity, mobile communications, capacity consistently propels advance- quantum technologies stand out as a
artificial intelligence, machine learn- ments in silicon and compound transformative frontier. Leveraging the
ing, and the captivating realm of semiconductor technology within the principles of quantum mechanics, these
the Metaverse. semiconductor industry. As we move innovations utilize quantum bits (qubits)
While we acknowledge and cel- forward, focusing on for unparalleled com-
ebrate the achievements of the past, refining semiconductor putational power, prom-
it’s imperative to gaze into the future. manufacturing process- \\\\ ising advancements in
The semiconductor industry has under- es, exploring innovative computing, sensing,
THE EFFICIENCY OF
gone dramatic shifts in the past decade. materials, and enhanc- and communication.
Today, only a select few IC manufactur- ing chip design and CONVERTING AND This trajectory pro-
ing companies can afford the immense packaging will be SAFELY OPERATING pels us to explore new
investment required to develop the most pivotal in meeting the RENEWABLE ENERGY materials and prin-
advanced technology nodes. On the evolving demands of SOURCES, SUCH AS ciples related to elec-
other hand, as we witness the emergence these technologies. SOLAR ENERGY, HAS trons, exploiting their
and evolution of many new applications, In response to the interaction with elec-
BECOME A FOCAL
the field of electron devices is diversify- global imperative for tromagnetic fields in
ing. Electron devices and technologies climate-conscious and POINT, DRIVING THE artificially fabricated
have been and will remain the founda- sustainable develop- DEVELOPMENT OF structures for innova-
tion of electronic circuits and systems. ment, the generation, NEW MATERIALS tive applications.
The domain of electron devices conversion, storage, AND DEVICES. Against this back-
encompasses a broad spectrum of tech- transmission, and appli- drop, the IEEE Electron
cation of energy are Devices Society (EDS)
undergoing a transformation toward clean, is actively refining its focus and enhanc-
Digital Object Identifier 10.1109/MED.2024.3369211
Date of current version: 21 March 2024 low-carbon, safe, efficient, flexible, and ing activities to champion excellence in

4 IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024 2832-7683/24©2024IEEE


the field of electron devices. We charter beyond EDS’s current publications. We capture the brilliance of our past in
EDS technical activities to inspire, foster, are currently exploring the possibility of electron device development and envi-
and empower global communities with a launching a new conference that empha- sion future opportunities; it acts as a
dedicated focus on advancements in elec- sizes device technologies driven by dynamic bridge connecting the electron
tron devices and tech- diversified applications. devices community with other techni-
nologies. In the year \\\\ Additionally, we aim to cal communities globally, fostering col-
2024, we are launching establish a conference laboration and synergies in countless
several new journals: WE ARE CURRENTLY organization platform ways. As we chart our course into the
IEEE Open Journal EXPLORING THE that will provide confer- future, we wholeheartedly invite your
on Immersive Displays POSSIBILITY OF ence organizers with a enthusiastic support for the magazine
(in collaboration with LAUNCHING A NEW streamlined and conve- and encourage your active engagement
several other IEEE CONFERENCE THAT nient process for plan- and participation in the vibrant activities
societies), IEEE Trans- ning and conducting of EDS.
EMPHASIZES DEVICE
actions on Materials for conferences. Here’s to a bright future of innova-
Electron Devices, and TECHNOLOGIES This magazine, IEEE tion, collaboration, and advancements
IEEE Electron Devices DRIVEN BY DIVERSIFIED Electron Devices Mag- in electron devices and technologies!
Review, to cover areas APPLICATIONS. azine, doesn’t merely  EDS

TAP.
CONNECT.
NETWORK.
SHARE.
Connect to IEEE–no matter where you are–with the IEEE App.
Stay up-to-date Schedule, manage, or Get geo and interest-based
with the latest news join meetups virtually recommendations

Read and download Create a personalized Locate IEEE members by location,


your IEEE magazines experience interests, and affiliations

IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024 5


\\ WOMEN IN ELECTRON DEVICES
Mukta Farooq
IEEE Fellow, IBM Distinguished Research Scientist,
IIT Bombay Distinguished Alumna

My Story

T
o succeed in life, you need the studying diffusion barriers in Schottky
courage to fail and the humility to Mukta Farooq is the winner of the devices and it started a lifelong love of
learn. If you are lucky enough to 2023 J.J. Ebers Award. In its long semiconductors.
meet failures on your way, and are history, Mukta is the first woman I started at IBM Microelectronics as
plucky enough to carry on after meeting ever to win this prestigious award a staff engineer, working on advanced
them, you will be well on your way to of the IEEE Electron Devices packaging technology, creating innova-
achieving success. Society. tive thin film redistribution wiring on
As a precocious three-year old, packaging substrates in 1988. It was the
I had been trained by my parents to first of its kind technology, specially
answer the “What will you be when you just to survive but to flourish. All things developed to improve IBM System per-
grow up?” question with the response considered, I love my alma mater dear- formance. Within a year, I was given
“Madam Curie!” But behind the humor- ly for a top-notch education, lifelong the mantle of technology qualifications
ous punch line was the role model for friends, and especially for giving me first at the East Fishkill, NY site, and
women in science, the one and only my classmate, Shaji, whom I fell in love a few months later at the Sindelfingen,
Marie Curie, who had broken all barri- with and married (Figure 1)! ­Germany site. It was a very exciting time
ers at a time when women rarely entered My Master’s degree at Northwestern for a newcomer, a steep learning curve
the scientific arena. It was this encour- University was in the study of classical for sure, but one that set the tone for
agement and confidence that served as metallurgy. It was at Rensselaer Poly- my career. Once I had tasted the thrill
the springboard for all of my academic technic Institute in Troy, NY, where I of qualifying and productizing semi-
endeavors, including getting into the first studied electron device physics, conductor technology, I always wanted
Indian Institute of Technology Bombay which fascinated me and helped define to work on the next big thing and see
for my undergraduate degree. Being one my career path. My doctoral thesis was it come alive in IBM hardware. At one
of a handful of girls in a class of 300 point, I realized that the silicon back end
proved daunting at first. It was not just of line (BEOL) and lead-free C4 were
the discomfort of being stared at but headed for an epic clash, i.e., chip pack-
also the isolation of being a different age interaction failures. Realizing that
“species.” But it trained me for what lay the unyielding lead-free alloys compris-
ahead. As the first ever female under- ing mostly tin/silver were here to stay,
graduate in metallurgical engineering, and that this problem had to be dealt
I discovered that there was not a single with at a holistic level, I decided to move
women’s restroom in the entire build- to the BEOL group.
ing! I panicked first, then improvised Any change is scary, more so if
and ran over to the Humanities Depart- it happens midcareer and you have
ment, which I knew would have one. It to restart at the bottom. I had to work
was an inconvenience, but the way I saw hard and smart, so that I could catch up
it, at least there was a restroom for me and then some. Using my knowledge
in the next building rather than farther of packaging technology, I made some
away. Taking things in stride and look- critical materials’ changes and then suc-
ing at the bright side was important not cessfully ran the qualification for 65-nm
low k BEOL chips with lead-free C4.
Digital Object Identifier 10.1109/MED.2024.3372173 FIGURE 1. Shaji and Mukta with their
Date of current version: 21 March 2024 grandson Zain (August 2023). (continued on page 47)

6 IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024 2832-7683/24©2024IEEE


Call for Nominations Editor-in-Chief

IEEE Transactions on Device and Materials Reliability


The IEEE Transactions on Device and Materials Reliability (TDMR) provides leading edge/state of the art
information that is critically relevant to the creation of reliable microelectronic products. The Transactions is a
forum for interdisciplinary studies on reliability and publishes original and significant research contributions on
reliability of Electronic and Photonic Devices, Materials, Processes, Interfaces, Integrated Microsystems (including
MEMS & Sensors), Process Technology (CMOS, BiCMOS, etc.) and Integrated Circuits (IC, SSI, MSI, LSI, ULSI,
etc.) and Packages. The measurement and understanding of the reliability of such entities at each phase, from the
concept stage through research and development and into manufacturing scale-up, provides the overall database
of the reliability of the devices, materials, processes, package and other necessities for the successful introduction
of a product to market. Reliability, in a sense, is everything that can be or has to be done to guarantee that the
product successfully performs in the field under customer conditions. Our goal is to capture these advances.

Founded in 2000, TDMR publishes original papers and letters. The editor-in-chief together with the editorial team
also solicits review articles, invited papers for special issues on highly topical themes. TDMR is co-sponsored by
the IEEE Electron Devices and the IEEE Reliability Societies.
Nominations and applications are invited for the position of Editor-in-Chief (EiC) for TDMR for a 3-year term
beginning as soon as available, but no later than January 2025. The EiC’s ongoing duties include assigning
submitted manuscripts to one of the editors who cover the range of reliability issues within TDMR’s scope. The EiC
makes the final decision regarding the disposition of each manuscript submitted to TDMR based upon the
recommendation of the editor. TDMR publishes four issues per year, each approximately 150-200 pages in length.

The EiC is helped administratively by a person from the IEEE publications staff.

Criteria for the Nominees:

 Ability and motivation to spend sufficient time to assign manuscripts and reviewing the acceptance or
rejection recommendations made by the editors;
 Demonstrated technical leadership within the field of reliability evaluation and characterization;
 Formal support from the institution for which the nominee works (waived if self-employed or employed at
an academic institution);
 Experience serving as an editor of TDMR or another journal whose scope includes the field of reliability of
microelectronic devices;
 Commitment to guide TDMR according to this Call for Nominations and to further actively develop the
journal;
 Willingness to work collaboratively with internal and external stakeholders to ensure the technical
leadership and fiscal health of TDMR.
Requirements for Nominations:

 A brief IEEE-style biography (up to 250 words) of the nominee;


 A complete CV and list-of-publications of the nominee;
 A brief statement from the nominator on nominee’s qualification and how the nominee meets the criteria
listed above;
 A letter from nominee’s employer indicating support for the EiC activity (can be waived, see above);
 Endorsement from two IEEE members on the nomination;
 A statement (up to 500 words) from the nominee on his/her vision and plan for the journal.

Please email the nomination materials to Laura Riello (l.riello@ieee.org), no later than May 15, 2024.

Digital Object Identifier 10.1109/MED.2024.3369788


A Journey of 40 Years Driving Moore’s Law

Exposure Tool Development


Toward Advanced
EUV Lithography
By Jan van Schoot , ASML

I
n modern high-end integrated circuits ously increasing number of elements This article is part of a series of three.
(ICs) one can find billions of active over time is called Moore’s law. The For the related articles, see [1] and [2].
elements. These ICs fuel devices like resolution itself is defined by the lithog-
mobile phones, complex graphical raphy tools. Over the years, the resolu- Smaller and Smaller
engines, servers, and high-end super- tion of these tools has improved from In 1965, Gordon Moore realized that,
computers. This would not be possible several micrometers in the early 1970s to with smaller transistors, “everything
without optical lithography, allowing for 13 nm in state-of-the-art extreme ultravi- became better”: smaller transistors con-
a continuous shrink in the dimensions of olet (EUV) tools [m = 13.5 nm, numeri- sume less energy, take up less space,
the individual active elements. Many cal aperture (NA) = 0.33] and will switch faster, use less material, are cheap-
technological developments over the last improve even further down to 8 nm in er, etc. The progress of available technol-
decades have enabled this. The continu- what are called high-NA EUV exposure ogy would dictate the speed of growth of
tools (NA = 0.55). There is an outlook the number of transistors on a single chip.
toward exposure tools allowing for even Based on his own ­o bservations, he
Digital Object Identifier 10.1109/MED.2023.3337129
Date of current version: 21 March 2024 smaller resolutions in the next decade. ­predicted in 1965 that the number of

8 IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024 2832-7683/24©2024IEEE


transistors would increase by a factor 2
1010
per year; see Figure 1 [3]. This proved to
be a somewhat optimistic view; from 108
1970 onward, the realized increase was
reduced to a factor 2 every two years. ear
106 2Y
2x/
This is still a very remarkable growth,
and after five decades, there are no signs 104
of it slowing down.

Components per Integrated Function


16
15

It is clear that, to keep up with Moore’s


14
13

Log2 of the Number of


12
11 Apple M3 Max 92 BT
law, the resolution of the printing devices

r
10

ea
9

/Y
8
7
is key. In addition to this, other technolo-

2x
6
5
4

gies have to be developed as well; this is 3


2
1
0
out of scope for this article.

1959
1960
1961
1962
1963
1964
1965
1966
1967
1968
1969
1970
1971
1972
1973
1974
1975
Year
1980 1990 2000 2010 2020 2030
The starting point of pushing down
the optical resolution is the Rayleigh or FIGURE 1. The graph that Gordon Moore made in 1965 is the basis for Moore’s law
Abbe equation, describing the minimum (inset). Today the trend is 2× more active components every two years, which has been
remarkably stable until today and shows no signs of slowing down [3], [4] and extended
feature size that can be resolved in a with public data.
diffraction-limited system:
trast. This contrast can partially be advanced techniques like source–
m
CD = k 1 $ . (1) gained back by techniques like mask optimization (SMO). Here
NA
coherent imaging and improved advanced illumination shapes are
Here critical dimension (CD) is the optical quality. Working in a lower combined with adaptations to the
resolution, m is the wavelength of the contrast regime will put stronger feature shapes on the mask to obtain
exposure light, NA the numerical aper- demands on process stability so as optimized image fidelity and process
ture of the projection optics, and k1 a not to end up with a lower device latitude on the wafer. For an exam-
so-called process parameter. The theo- yield, hence the term process param- ple, see Figure 3.
retical limit of k1 is 0.25 [5], [6]. eter. Reducing k1 is the most obvi- ■■ Increase NA: NA is the angular range
To achieve a smaller resolution, all ous method to reduce the resolution. that a lens can capture. It is defined as
three parameters are being utilized. It does not require a new lens design NA = n $ sin ^ah (2)
Here, an overview is given in the order or new materials and sources to cope
of impact for the industry. See also Fig- with different wavelengths. Improved where a is the maximum angle with
ure 2 for an overview of a series of expo- process control still involves the respect to the normal. The refractive
sure tools since 1985. exposure tool (e.g., tighter focus and index n is usually 1 in air or a vacuum.
■■ Reduce k1: Reducing k1 for a given dose control), add-ons like flexible Increasing the NA is a very effective way
NA and wavelength yields a smaller illuminators [1] to s­upport off-axis to improve the resolving power of an
feature but at the cost of optical con- or incoherent illumination, and exposure tool. This has been done over

1,000
G-Line (436 nm) Wavelength (nm)
436 g-Line
355 i-Line
i-Line (365 nm) 248 KrF
XT:1400 193 ArF and Immersion
= k1x wavelength / NA

100 NA + 45%
Resolution (nm)

KrF (248 nm) NXT:1950i

ArF (193 nm) NXE:3400 NA + 67%


ArF Immersion (193 nm) EXE:5x00
10 13.5 EUV
EUV 0.33 NA
EUV 0.55 NA
EUV 0.75 NA

EUV (13.5 nm)


1
1985 1990 1995 2000 2005 2010 2015 2020 2025 >2030

FIGURE 2. Lithography exposure tools evolution since 1985. Note that in the era of immersion, double patterning was introduced to
reduce the resolution, leading to an “effective” k1 smaller than the theoretical minimum value of 0.25.

IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024 9


has to take place in a vacuum, and
all refractive optics had to be replaced
Library Pupil SMO Pupil by reflective optics.
To give an idea, (2) has been evalu-
ated for some cases, and the results are
given in Table 1. For k1, the typical val-
ues used for that time and technology
were assumed.
The maturing of EUV took until
2018. For this, ArF immersion technol-
ogy continued to be applied and was
(a) (b) also used for multiple exposure tech-
niques [9] to allow for printing features
FIGURE 3. SMO. By tuning the illuminator and mask patterns, the pattern fidelity can well below the resolution limit. This
be improved. (a) A 28-nm pitch pattern where a standard illumination mode is used. resulted in more complex manufactur-
(b) SMO is applied. The darker band is the expected pattern variation on the wafer; a
clear improvement is shown [7]. ing steps. It also required a much tighter
overlay control in line with the require-
ments of the final patterns. In Figure 5
Dry Immersion an example is given of a static random-
Exposure Light Exposure Light access memory cell printed with ArF
triple exposure versus a EUV single
exposure that clearly demonstrates the
Lens improved resolution as a result of the
smaller wavelength, despite the signifi-
cantly lower NA.
As also indicated in Figure 2, the
Air (n = 1) Water (n = 1.44) next step on the roadmap is the further
increase of the NA toward 0.55 and
Wafer potentially even beyond.
(a) For commercial manufacturing, the
(b)
cost per wafer is an important param-
FIGURE 4. (a) The air between the lens and the wafer limits the NA to <1 by Total eter, and here throughput is the driving
­Internal Reflection. (b) In immersion systems, water allowed the NA to be increased aspect. Table 2 lists details on large-
to 1.35 [8]. field EUV projections systems. Figure 6
depicts the Alpha Demo Tool. Since the
the years; for every wavelength the NA ■■ Today in EUV the same principle optics in modern exposure tools are the
has been pushed as far as possible toward holds, and the NA has been increased most important part of the total costs
1, the theoretical limit in air/vacuum. In from 0.25 to 0.33 and will increase of the system, several measures are
the case of 193 nm, the shortest wave- even more in the near future to 0.55. taken to utilize the optics as efficient
length before the transition to EUV (or ■■ Reduce the wavelength: This is the as ­possible:
13.5 nm) was made, the NA has even been most demanding change since it 1) Exposure systems are equipped with
extended to 1.35 by means of immersion: requires new optical materials, new two wafer stages. During the expo-
water, a liquid with a refractive index of photo resists, new solutions to cope sure of a wafer, another wafer on a
~1.4, is put between the last optical ele- with optical degradation, and, of second stage is being characterized
ment and the wafer; see Figure 4. course, new light sources. The in three dimensions (overlay x, y,
industry up to now has exploited in and focus). When the first wafer is
essence five different wavelengths: finalized, the second wafer can now
TABLE 1. Resolution of exposure early tools used the g-line of a Hg be exposed with minimal delay,
tools for various wavelengths lamp (435 nm); later the i-line of guaranteeing that the optics is used
and NAs. 365 nm was used. The next step was a for exposing wafers continuously.
248-nm KrF laser, followed by the See Figure 7.
Year m [nm] NA k1 CD [nm] 193-nm light from an ArF laser. 2) Typically, projections lenses are
1990 365 0.4 0.6 550
The last step was the move toward rotationally symmetric and produce
1997 248 0.63 0.5 200
2008 193 1.35 0.27 39 13.5 nm or EUV. Since this light is a circular image field. Until 1997,
2019 13.5 0.33 0.32 13 absorbed by all materials, including all ASML projection systems used a
air, this implied that the exposure square portion of this field. This

10 IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024


square field was printed many times
on a wafer (the so-called Step &
Repeat systems); see Figure 8.
When using the industry standard 2
1 1
6-in square masks and a demagnifi-
3 3
cation of 5×, later changed to 4×, the
maximum image printable field size 2
2 2
on the wafer was 22 mm × 22 mm.
In 1997 the PAS 5500/500 was
introduced, which used a rectangular
part of the image field [Figure 8(a)].
This wider rectangular slit was then (a) (b)
used to project the image from the
mask in a scanning fashion by mov-
FIGURE 5. (a) The 44-nm-wide structures of a 10-nm-node logic cell. ArF triple patterning
ing the mask and wafer at the same was used; the numbers indicate the three individual exposures. The dose = 39.5 mJ/cm2.
time and at a relative speed equal to (b) The same cell made with EUV single patterning; NA = 0.25, and the dose = 16 mJ/cm2.
the demagnification of the optics. The advantages of EUV patterning are obvious from these figures [10].

TABLE 2. Overview of the large-field EUV projection systems. NXE:3100 was the first commercial system.
ADT NXE:3100 NXE:3300 NXE:3350 NXE:3400 NXE:3600 EXE:5000
POB
NA 0.25 0.25 0.33 0.33 0.33 0.33 0.55
Resolution [nm] 32 22 18 16 13 13 8
Demagnification 4 4 4 4 4 4 4x/8y
Illuminator
Type Fixed Fixed Variable Variable Variable Variable Variable
Sigma 0.5 0.8 0.2–0.9 0.2–0.9 0.06–1 0.06–1 0–1
Pupil fill ratio 100% 100% 40% 40% 20% 20% 20%
Source
Type DPP DPP/LPP LPP LPP LPP LPP LPP
Power [W] 4 10 70 125 300 350 350
Throughput [WhH] 1 6 60 95 145 185 185

ADT: Alpha Demo Tool; POB: projection optics box; DPP: discharge-produced plasma; LPP: laser-produced plasma; WhH: wafers/h.

(a) (b)

FIGURE 6. (a) The Alpha Demo Tool, with the source just visible on the left side, the mask hander on top, and the wafer handler on
the right side. The systems were installed in Albany, NY, USA, and Leuven, Belgium. (b) The 32-nm 1:1 lines and spaces printed with
this tool [11].

IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024 11


Using the same projection optics, 4× especially with EUV, larger doses Current source powers are exceed-
demagnification, and mask size, the are also being utilized, requiring ing 250 W, and developments are
maximum usable image size is now large source powers to enable ongoing to increase this to 800 W
26 mm × 33 mm, an area increase of enough productivity. In the deep and higher.
77% [12], [13]. ultraviolet (DUV) region, lasers are ■■ With increasing stage speeds, the
■■ For proper exposure of the photo being utilized with powers up to turnaround time of the stages is lon-
resist, a certain dose is required. 100 W. For EUV, the transmission of ger, hence limiting the throughput
A typical value is 30 mJ/cm2, but the optics is lower than for DUV. of the system. Increasing the stage

Measurement Exposure

Wafer
Mapping Fine
Coarse
Wafer Wafer
Align Align

Global
Level
Circle
Chuck
Swap Expose
Wafer

Stage
Align Unload
Wafer

Lot
Correction Reticle
Load Align
Wafer (1st Wafer
of Lot)

FIGURE 7. The dual-stage concept is introduced to maximize the utilization of the optics. All preparation steps to characterize the wafer
in three dimensions are done in parallel to the exposure of a different wafer.

Lens Field Stepper Image Step and Repeat: Step and Scan:

Scanner
Die Size

Scanner
Image
(a) (b) (c)

FIGURE 8. In Step & Repeat systems, the maximum square area of a circular-shaped image field of a lens is utilized. Step & Scan
systems utilize a smaller but wider area of the same lens. By scanning this slit over the mask field, a larger image field can be produced
at the wafer. This almost doubles the resulting die size, while utilizing the same optics.

12 IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024


CD
Simplified Examples Critical Dimension
of Two Layers

Typically, 5 to 10% CD
Lines/Spaces
Variation is Allowed

Overlay
Contact Holes Overlay Requirement is
Between CD/3 and CD/6


(a) (b)

FIGURE 9. (a) SEM photograph of a part of a typical CMOS IC. The first two metal layers are shown on top of the active areas of the
active devices and the via’s connection of the different layers. (b) An abstraction of this photograph is depicted: lines and pillars. SEM:
scanning electron microscope.

accelerations is an effective method different exposure tools. The second was originally projected to be intro-
to further increase the productivity group includes the “global” errors: duced in 2010 with the NXE:3100,
of the exposure system. intrafield and intrawafer systematics 0.25 NA. However, it took until 2017,
For this reason, improved wafer and caused by, e.g., a nonuniform illumi- when EUV source power reached val-
mask stages are needed to allow for nation profile over the exposure field ues around 250 W and the industry
larger accelerations while keeping the leading to a degrading CD uniformity accepted EUV as the new standard for
mechanical disturbances to the optical (CDU), or placement errors caused by leading edge technology. In 2018 the
system minimal at the same time. lens and stages. The third term refers first commercial chips were released by
to the “local” errors. These are errors Samsung, shortly followed by TSMC.
Lithography: Key Metrics of a statistical nature due to the limited At this moment, increasing contrast
When designing exposure tools, it is number of photons that are being used. and exposure dose are driving design
important to understand what the rele- This is called photon shot noise (PSN) parameters for modern exposure tools.
vant design parameters are. A guide to [15]. Since at a smaller wavelength
these metrics is depicted in Figure 9. the energy per photon increases, PSN EUV 0.33 NA: The Current EUV
It is important that pillars and lines becomes increasingly important when Workhorse
make a solid electrical connection, printing smaller features.
while at the same time they do not EUV Source Developments
touch neighboring lines. The dimen- EPEmax = “systematics” + “global” Sufficient source power was the key
sion of features is typically denoted + “local”(3) enabler to get EUV into high-volume
by CD. The offset between the centers
of two features that should fall on top It is important to realize that, down EPE Margin EPE Margin
of each other is called the overlay. A to the wavelength of 193 nm, overlay
typical requirement for the uniformity and CDU play an important role. With
of the CD over a wafer is 10% of the the shift in wavelength toward 13.5 nm
feature size. Overlay requirements are (EUV), the energy per photon has
typically between CD/6 and CD/3. increased by a factor of 14. In other
A more generic way of describing words, the amount of photons for a
the behavior of different features on a given exposure dose has decreased 14 FIGURE 10. Schematic drawing of the
chip is called the edge placement error times. At the same time, the printed ­importance of EPE. In the figure, two lines
and a pillar are made on top of an earlier
(EPE) [14] (see Figure 10). It is an over- features are smaller, so this smaller area layer of lines. This is done by two subse-
arching parameter bringing overlay and will receive an even smaller number quent exposures of two masks: the first
CD errors together. of photons. As a result, when printing mask prints a set of parallel lines; the sec-
The contributions of the different with EUV light, PSN dominates this ond mask prints the connecting pillars. This
errors are summarized by three terms: equation. Together with the relatively second exposure should be well aligned
with respect to the first exposure to avoid
“systematic,” “global,” and “local.” “Sys- low reflection per multilayer (<70%), it unintended short circuits or to ensure that
tematic” points to terms like errors on the is not a surprise that the source power the pillar does not land on the line below.
mask and offsets in behavior between is key in making EUV a success. EUV The dashed patterns are the target shapes.

IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024 13


and static foil traps. The rotating foil
Foil Trap Grazing Incidence trap is basically a set of radial blades
Collector that rotate with high velocity. The blade
dimensions and rotation speed were
chosen such that all of the fast-moving
+ Sn particles, originating from the dis-
charge, were trapped on the blades.
Smaller residues passing the rotating foil
trap were pushed back in the subsequent
static foil trap by a gas flowing in the
Ignition Beam opposite direction. Nevertheless, it was
difficult to keep the optics free from
FIGURE 11. Principle of a DPP source. A laser ignites a discharge between two wheels tin debris and the optical transmission
covered with tin. A grazing collector collects the light; the foil trap protects the collector of such a system was limited. Next to
from the tin debris. Grazing incident mirrors reflect light at large incident angles. this, the plasma size to produce enough
power was too large to work together
production. It took many iterations to Sn atoms. At sufficiently high tempera- with the etendue-limited illuminator.
bring the source “from the lab to the fab” tures, the tin is transformed into a plas- LPP [17], [18] makes use of a strong
and reach output powers above 250 W, ma that radiates light at 13.5 nm. Two CO2 laser pulse (10.6 µm) to turn an
allowing for a productivity of 145 WhH main concepts are used: discharge-pro- approximately 30-µm-diameter tin drop-
at a dose of 20 mJ/cm2. All concepts duced plasma (DPP) and laser-produced let into a plasma (see Figure 12). Irra-
used in commercial systems make use of plasma (LPP). diance values of 1010 –1011 W/cm2 are
DPP [16] makes use of two electrodes required to ionize tin multiple times,
coated with a layer of tin (see Figure 11). from Sn+7 to Sn+13. When the electrons
Normal Droplet Generator A small laser pulse evaporates some tin, fall back, they emit the required 13.5-nm
Incidence
Collector and the resulting tin vapor cloud ignites EUV light. The required plasma temper-
a discharge between the two electrodes. ature is around 350.000 K. Originally, a
Laser There were two main disadvantages that concept called No Modulator was used.
in the end caused the discontinuation of This system consisted of a powerful
this source for high-power applications. optical CO2 amplifier. At one end of the
Tin Catch
First, the process was not “tin limited”; amplifier, a fixed mirror was placed. A
a lot of tin participated in the reaction, tin droplet then acted as the second mir-
FIGURE 12. Principle of an LPP source.
A powerful laser pulse heats up a tin causing the optics to degrade, and severe ror that closed the cavity and started the
droplet of ~30 µm. The generated plasma measures were needed to keep the tin laser action. The generated laser light
radiates the 13.5-nm light. away from the optics by using rotating heated the tin droplet, which in turn
started to emit EUV light.
This system was improved by
CO2 CO2 actively igniting the amplifiers with a low-
Prepulse Mainpulse power CO2 prepulse followed by a high-
power CO2 main pulse, or modulator
power amplifier–prepulse (MoPA-PP).
The first pulse hits the tin droplet and
creates a small plasma plume. By iner-
Dro
plet
tia, this transforms the tin droplet into a
Tra flat disk of liquid tin. Then the second,
jectory
stronger laser pulse hits this tin disk
and ignites the plasma, which radi-
ates the 13.5-nm light (see Figure 13).
MoPA-PP improved the conversion
efficiency (CE) of this source from ~1%
to more than 4%. Today more complex
prepulse patterns are fired with a dedi-
cated 1-µm laser before the main pulse
FIGURE 13. The steps in producing EUV light. A 30-µm tin droplet is transformed into hits the target. In this way, the CE has
a disk with a prepulse laser. In a second step, the tin disk is hit with the main pulse, been pushed even further to ~6% [19].
producing the 13.5-nm EUV light. Source powers exceeding 800 W have

14 IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024


800 600 W:
1µm Pre-Pulse and
Increased Rep-Rate
700 Products:
Dose Controlled EUV Power (Watts)

NXE: 3100 500 W:


600 NXE: 3300B Increased
NXE: 3400 Rep-Rate
NXE: 3600D
500 NXE: 3800E
Research:
400 Dose Controlled

300

200

100

0
2010 2012 2014 2016 2018 2020 2022 Future
FIGURE 14. The EUV power produced by an LPP source over time. In 2015 powers exceeding 100 W were generated, as needed for
economically viable IC manufacturing.

(a) (b) (c)

FIGURE 15. (a) The NXE:3350 Exposure Tool, the first tool with an EUV power of 125 W and allowing for a throughput of ~100 wafers/h
at a dose of 20 mJ/cm2. The progress in industrialization is clearly shown, even without the covers. Pictures of (b) 16-nm and (c) 13-nm
1:1 lines and spaces.

been demonstrated—enough to make ing for further reduction of the k 1


EUV an affordable technology. (See value. The pupil fill ratio is the mini-
Figures 14 and 15.) mum area of the pupil that has to be

Imaging and Overlay Performance 12.5


The optics and stages are responsible 12.4
for good overlay and imaging perfor- 12.3
mance. Over several generations, the 12.2
aberration levels and stage perfor- 12.1
2 nm
mance have provided enough stability 12
for a CDU performance below 0.5 nm; 11.9
overlay values are around 1 nm. Typi- 11.8
cal results are shown in Figures 16 11.7 FIGURE 17. Full-wafer MMO perfor-
mance of a 0.33-NA Step & Scan system
and 17. The illuminator has been FIGURE 16. Full-wafer CDU performance of (NXE:3600) relative to an ArF system. The
improved [1] by pushing the pupil fill a 0.33-NA Step & Scan system (NXE:3600). value for x is 1 nm, for y, 1.1 nm. MMO:
ratio down from 40% to 20%, allow- 13-nm 1:1 dense lines; CDU = 0.29 nm. matched machine overlay.

IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024 15


fill with light without compromising
0.7 the transmission of the illuminator.
Mask
0.6
z
0.5 Next Step: High-NA EUV
y
x At this moment, the industry has adopt-

Reflection
0.4 x y
4×/4× ed EUV for high-end mass production.
0.3
8×/8× The improved aberration levels of the
0.2
4×/8× projection lens and the flexible illumi-
0.1
nator helped to push down the k1, and
0 stage acceleration and available source
0 5 10 15 20
Angle of Incidence (°) power enable the required productivity.
(a) (b) The next step is to increase the NA of
the lens. For this, it is important to
FIGURE 18. In the heart of an exposure tool is the mask. On every point of the mask, understand the nature of the mirrors,
a cone of light is reflected. The reflection coefficient depends on the angle of incidence
and especially of the mask, which is
[20]. The angular range on the mask depends on the NA and the magnification of the
projection optics. The y value of the 4x/4x case exceeds the capability of the mask. also a mirror surface containing the pat-
tern information. The multilayer of the
mask has a limited angular reflection
range, as shown in Figure 18(b). On top
of that, the mask should be considered
Strong Shadowing Weak Shadowing
as a 3D structure, causing significant
“shadowing” to the reflected rays. See
Figure 19. A more thorough descrip-
tion of this so-called 3D mask effect is
W W
given in [21]. The consequence, howev-
er, is that rays at angles greater than
~11° with respect to the normal are
severely impacted by both effects.
FIGURE 19. Since the light is reflected on a virtual plane ~50 nm deep into the mul- In 0.25- and 0.33-NA systems, the
tilayer, shadowing effects limit the contrast in the reflected light. This is called the 3D image on the mask is projected on the
mask effect [21]. wafer with a demagnification of four.

0.33 NA 0.55 NA 0.55 NA 0.55 NA

Mask

~18° ~9°
~11° ~9°

~8° ~8°

13 nm Resolution >>8 nm Resolution 8 nm Resolution 8 nm Resolution


26 mm 26 mm 13 mm 26 mm
16.5 mm
16.5 mm

Wafer
33 mm

33 mm

4 × (FF) 4 × (FF) 8 × (QF) 4×/8× Anamorphic (HF)


(a) (b) (c) (d)

FIGURE 20. (a) The light cones for 0.33 NA, together with (b)–(d) three alternative configurations for 0.55 NA. The 4×/8× configuration
yields both proper throughput and imaging FF: full field, HF: half field, QF: quarter field.

16 IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024


As a result, the features on the mask \\\\
are four times larger than on the wafer;
hence, the NA at the mask is four times AT SUFFICIENTLY HIGH TEMPERATURES, THE TIN IS TRANSFORMED
smaller than the NA at the wafer. With INTO A PLASMA THAT RADIATES LIGHT AT 13.5 NM.
(2) and some margin for the physical
separation of the incoming and outgo- logical point of view, 16× accelerations projection, this limitation is around
ing beams, the maximum angle on the are not considered feasible in a rea- 200 wafers/h.
mask for a 0.33-NA system is ~11°, just sonable development time. The con- At this moment, the integration of
in line with the mask capabilities. With sequences of this limitation are shown this 0.55-NA system is in full prog-
0.55 NA, this angle will be increased in Figure 21. The throughput would be ress. See also Figure 22. First light is
to ~18°, so this exceeds the mask capa- limited to values around 100 wafers/h, expected at the moment of publication
bilities. To limit the angular range, it where in the case of an anamorphic of this article.
is clear that the demagnification factor
should be increased. A factor of 8 was
chosen, resulting in a 9° maximum 200
High NA, HF, New Stages
deviation from the normal. Because
180
the mask size is a strong industry stan-
dard that cannot easily be changed, the 160
resulting image on the wafer will be NXE: 3,400 (0.33 NA)
two times smaller in both directions, 140
Throughput (300 mm/h)

so it will have an area that is four times High NA, QF, New Stages
120
smaller, assuming the same image size
at the mask. From Figures 18(b) and 100
High NA, QF, Current Stages
20(b) it is clear that the angles at the
mask will only exceed the mask capa- 80
bilities in the y direction. So one could
60
think of a lens that has a demagnifica-
tion of 8 in the y direction, and 4 in the 40
x direction. This so-called anamorphic
projection [22], [23], [24] results in an 20
image on the wafer that is only twice 0
as small [see Figure 20(b) and (c)]. 0 5 10 15 20 25 30 35
This larger field has important con- Source Power/Dose (W/mJ/cm2)
sequences for the design of the motors
driving the stages. In the case of the FIGURE 21. Throughput curves for the several configurations in Figure 20. On the
quarter field, four times as many images horizontal axis a normalized value of power/dose is used [25]. QF is the situation as
described in Figure 20(c). HF, as a result of anamorphic projection, yields the highest
have to be printed. At the same time, the productivity, see Figure 20(d).
wafer stage runs twice as fast because
the light is concentrated in a 13-mm-
wide slit; half the size of the original
26-mm-wide slit. At the same time,
the speed of the mask stage relative to
the wafer stage has to be doubled again
because of the double demagnification.
So to keep the overhead time equal when
going from 0.33 to 0.55 NA, the accel-
eration of the wafer stage has to be 4 × 2
= 8 times larger, and the acceleration of
the mask stage has to be 4 × 2 × 2 = 16
times larger. In the anamorphic case,
the slit is as wide as in the 0.33-NA
system, and only twice as many images
need to be printed. So the wafer stage
needs to be 2 × 1 = 2 times more pow-
erful, and the mask stage 2 × 1 × 2 = 4 FIGURE 22. The first 0.55-NA EUV exposure tool, ready to receive the first set of optics
times more powerful. From a techno- (status as of June 2023) [26].

IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024 17


High-NA Consequences where m is the wavelength and k2 is surface of the wafer and measuring the
In this section, some design aspects are a constant. position of the reflection. The reflec-
highlighted that are critical when mov- This equation makes clear that DoF tion signal consists of many reflections;
ing toward a larger NA, together with scales inversely proportional to NA2. not only the reflection to the surface,
the half fields resulting from the ana- Usually k2 is taken as 1; for this value but also reflections from layers deeper
morphic projection optics. the DoF is evaluated; see Figure 23. into the chip play a role and deteriorate
To achieve this focus control, the the signal. This is called the height pro-
Depth of Focus wafer metrology plays a key role. The cess dependency (HPD). The unwanted
The depth of focus (DoF) of a lens is mapping of the focus plane of the entire reflections can be better ­suppressed by
defined by the second Rayleigh equation: wafer is done in parallel with the wafer increasing the angle that the beam makes
exposure on the second stage position, with respect to the wafer normal. The
m
DOF = k 2 (4) as explained earlier. Metrology is done effect of increasing the incoming angle is
^ NA h2
by an oblique beam reflecting on the shown in Figure 24 for various types and
thicknesses of the underlying hard mask.

250 Stage Design


Stages in exposure tools need to be
200
stiff and are large because, next to the
0.25 NA
substrate, they need to hold sensors
DoF (nm)

150
and parts of the metrology system
(see Figure 25). As explained previous-
100
K2 = 1
ly, acceleration is key to ensure suffi-
50
0.33 NA cient productivity. The most obvious
thing to happen is the increase in
0.55 NA
0 power dissipation, typically in the
0.2 0.25 0.3 0.35 0.4 0.45 0.5 0.55 0.6 0.65 0.7 range ~10–100 kW. The dissipated
NA
power is given by
FIGURE 23. The DoF according to Rayleigh. For 0.55 NA, the value is around 45 nm.
The required focus control is depicted by the gray bars [27]. Power ~ I 2 $ R = k $ ^acc $ mass h2 $ R motor .
(5)

70°

Resist
esist Resist
esist HPD
BARC
ARC BARC
ARC
Hardmask
mask Hardmask
mask

Product Layer
ayer Product Layer
ayer
Silicon Substrate
rate Silicon Substrate
rate

30 30
25 25
SoC 1
20 20
HPD (a.u.)

HPD (a.u.)

SoC 2
15 SoC 3 15
10 SoC 4 10 SoC 1
SoC 5 SoC 2
5 5 SoC 3
SoC 6 SoC 4
SoC 7 SoC 5/6
0 0 SoC 7
0 50 100 150 200 0 50 100 150 200
Hardmask Thickness (nm) Hardmask Thickness (nm)
(a) (b)
a-C TiN

FIGURE 24. (a) The principle of height measurement and the origin of the unwanted ghost rays. (b) Suppressing these rays by a larger
angle reduces the measurement errors [25]. BARC: bottom antireflective coating; SoC: spin-on carbon.

18 IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024


In Figure 26 the black line depicts
what happens when increasing the
acceleration of the stage of the 0.33-NA
system: more motors are added, and this
increases the mass, which requires in turn
more motors and so on. The required
accelerations to meet the throughput
goals could not be achieved. In the high-
NA system a lot of attention was paid to
the reduction of the mass. Originally, the
stage was connected to a moving piece
of the vacuum enclosure. In this way,
moving cables and cooling lines could
be kept outside the vacuum to prevent
contamination of the mask. Because of
the large moving mass, this strategy had
to be abandoned, and all moving parts FIGURE 25. The mask stage of an EUV system as seen from below. The retractable
were placed inside the vacuum. Spe- blades on both sides are used to define the image size.
cial care needed to be taken to prevent
contamination of the mask by moving
cables and other parts. For this, particle 12× 200
shields were placed, while a significant
mass reduction could still be achieved, 10× 180
leading to a manageable power con-
MS Electrical Power

Throughput (WhH)
sumption, as shown by the light gray 8× 160
line in Figure 26. The dark gray line
depicts the resulting throughput. 6× 140
The wafer stages were already fully
4× 120
inside the vacuum, and because of the
demagnification they don’t move as fast
2× 100
as the mask stage. A picture of the two
stages (one in the metrology position Values Relative to NXE:3400
0× 80
and one in the expose position) is shown 0 1× 2× 3× 4×
in Figure 27. Acceleration

Dynamics and Metrology FIGURE 26. Power consumption of the mask stage when increasing the acceleration for
With the large accelerations of the stag- different design strategies [25]. MS: mask stage.
es, it is important to take into account
the reaction forces on the rest of the
system, especially the optics. The fol-
lowing measures are taken to limit and
deal with the remaining disturbances.
See also Figure 28.
1) The stages are equipped with bal-
ance masses. As a result, the center
of gravity of the combined stage/bal-
ance mass stays at one position.
2) The system consists of a base frame
and a metro frame. This metro frame
is isolated from the base frame by
vibration isolators and serves as a
silent world.
3) The stages and the optics are refer-
enced to the metro frame, and stages
are continuously controlled in such FIGURE 27. Wafer-stage module of an EUV exposure system. Exposures are done on
a way that they move ­according to the stage at right; the other stage is used to map the next wafer in parallel.

IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024 19


\\\\ the 26 mm × 33 mm area for powerful
chips for, e.g., server and artificial
TO ENABLE FAST MOVEMENTS IN COMBINATION WITH THE intelligence applications. In these
LONG REACH OF THE STAGES, A SHORT-STROKE–LONG-STROKE exceptional cases, a full field can be
CONCEPT IS USED. printed by using two half fields, each
present on a different mask. The
impact on imaging and overlay has to
the desired trajectories relative to Impact of the Half Field be taken into account.
the optics. As a result of the anamorphic con-
4) To enable fast movements in combi- cept, the maximum area that can be Imaging
nation with the long reach of the printed is now half as large as that Two strategies can be followed here.
stages, a short-stroke–long-stroke from a 0.33-NA system. Chip sizes One is to define a so-called stitching
concept is used; see the inset in vary a lot, from a few millimeters zone that is part of both masks. In this
Figure 28. squared to large chips taking up all of stitching zone, one can define relaxed

Coils Magnets

z xy z Reticle Stage
Balance Mass Long-Stroke Motor Motor/Chuck Coupling
Short-Stroke Lorentz Motor
Optics Frame EU
Isolated From V
fro
Metroframe F m
Illu
Alignment System, x m
ina
Level Sensor to
r
POB Frame
Metroframe

Illuminator
Wafer Stage Wafer Stage Frame
IFM Vibration
F Isolators
Base Frame

Stage Position Measurement Metroframe and


With IFM Referenced to Optics Frame Illuminator Separately
Vibration-Isolated

FIGURE 28. Schematic diagram of an exposure tool, showing stages, lens, metrology, and measures to suppress the dynamic
­disturbances to keep mask, lens, and wafer well positioned with respect to each other. The inset shows the principle of a long-stroke–
short-stroke motor combining a long travel range with high-bandwidth actuation [28]. POB: projection optics box.

Image 1 Image 1
Stitch
Stitch

Image 2 Image 2

Lines/Spaces P36 Contact Holes P48


(a) (b) (c)

FIGURE 29. Stitching results as obtained with a 0.33-NA exposure system. The two images in (a) will be overlapped to make the final
image of the 36-nm pitch lines and spaces, (b) as shown in the SEM figure. In (c) the stitched result of a contact hole pattern is shown.

20 IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024


s­pecifications for, e.g., minimum line- \\\\
width, with the most extreme case where
no features are allowed in this zone. This TO BE ABLE TO GET THE OVERLAY INTO SPECIFICATION, A
has a large impact on the chip designs, STRATEGY IS NEEDED WHERE THE HALF FIELDS ARE PRINTED
where usually large building blocks are SUCH THAT A FULL FIELD EXPOSURE TOOL CAN DEAL WITH IT.
taken from a library that have to be placed
efficiently in the available area.. A more
favorable scenario is where the stitching mentary field-effect transistor devices will play an important role. On one
zone is fully transparent for the underly- demands capabilities that are even beyond hand, the machine should be able
ing chip design. In this case a feature what is possible with the 0.55-NA system to deal with a focus margin below
(e.g., a line) starts on mask one, and over- without the use of double exposure. In 20 nm, while at the same time, the
laps partly with the continuation of this the end, it is a matter of economics as to photoresist should be able to collect
feature on the second mask. Good results whether chip manufacturers continue to enough photons in a very thin resist
have been obtained so far, as can be seen use 0.55-NA systems with multiple layer [2].
in Figure 29. These results are generated exposures or whether a new-generation ■■ Wavelength: This is the most chal-
in close cooperation with Imec [29]. exposure tool with improved resolution lenging route. At wavelengths well
needs to be developed. below 13.5 nm, the absorption decreas-
Overlay es, and the differences between the
In the case of exposing two half fields Can It Be Done? refractive indices of the different ele-
on top of a full field, the overlay will To answer this question, a look should ments become even smaller. The main
improve because of the increased num- be taken at (1) again: consequence is that the penetration
ber of degrees of freedom. Typically, a ■■ k 1: A potential way forward to depth in materials will increase. This
scanner has 18 degrees of freedom in extend the imaging capabilities of leads to a smaller angular bandwidth
deforming an exposed field. This can the 0.55-NA system is to improve of the multilayers, making it more
be done by placing the images with the illuminator such that it can difficult to create a large NA. Also,
small offsets to match the underlying achieve a lower pupil fill ratio. At the shadowing effects by the patterns
image, on top of that, tuning of the this moment designs are being stud- on the mask will increase. As a
individual elements of the projection ied to enable values around 10%. result, the demagnification needs to
optics can also be used to deform the ■■ NA: The next step will be to increase be increased even further, with a
image slightly. Printing the full field on the NA even further. Here concept large impact on the productivity of
top of two half fields is less obvious. studies are being carried out with a such a tool. The photo resists also
Here the two half fields can be printed proposed NA of around 0.75. Next to need to be thicker to absorb enough
in such a way that a consecutive full the aforementioned economic argu- photons, which competes with the
field cannot be actuated in such a way ments, the reduced depth of focus limited depth of focus.
that overlay requirements are met in all
field points. To be able to get the over-
lay into specification, a strategy is 1.2 Spec (1.1 nm)
needed where the half fields are printed Corrections per Exposure Corrections per Exposure
1
Corrected MMO 99.7% (nm)

such that a full field exposure tool can 18 Par Full Field Calculated 18 Par Half Field Calculated
deal with it. A successful application of 0.8
such a strategy is shown in Figure 30. 0.8
0.6 0.7 0.7
The Future 0.6
So far, the developments of the opti- 0.4
cal lithography systems have enabled
0.2
the shrink of features from several
microns all the way down to 8 nm—a 0
remarkable result of many decades of Full Field to Half Field Half Field to Full Field
investments and hard work. To con-
tinue, two questions need to be asked: Layer Full Field Layer Half Field
is it needed, and can it be done?

Is It Needed? Layer Half Field Layer Full Field


Based on device roadmaps (see, e.g., the
roadmap from Imec [2]), especially the FIGURE 30. Experimental verification of the matching strategy of a double half field to a
local interconnect layer of future comple- full field and the other way around. The MMO values are well below 1 nm.

IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024 21


Final Remarks [4] S. Borkar, “Future of computing in the so- [18] I. Fomenkov et al., “Chapter 3A: EUV
An overview has been given of approxi- called ‘Post Moore’s Law Era’,” in Proc. Int. sources for high-volume manufacturing,” in
Conf. High Perform. Comput., Netw. Storage EUV Lithography, 2nd ed., V. Bakshi, Ed.,
mately 40 years of exposure tool Anal., Nov. 18, 2020. SPIE, pp.109–163, 2018.
development—a success story that was
[5] E. Abbe, “Beiträge zur Theorie des [19] M. Purvis et al., “Industrialization of a
able to fuel Moore’s law over this long Mikroskops und der mikroskopischen robust EUV source for high-volume manufac-
period. It was only possible as a result of Wahrnehmung,” Archiv Für Mikroskopische turing and power scaling beyond 250W,” in
the close collaboration among many peo- Anatomie, vol. 9, no. 1, pp. 413–468, 1873, Proc. SPIE 10583, Extreme Ultraviolet (EUV)
ple and companies, especially the collab- doi: 10.1007/BF02956173. Lithography IX, May 10, 2018, Art. no.
[6] C. A. Mack, “Optimizing numerical aper- 1058327, doi: 10.1117/12.2305955.
oration between Zeiss and ASML. Zeiss
is an optics supplier, and ASML is a ture and partial coherence,” Lithography Tutor, [20] E. Louis, “Physics and technology devel-
vol. 12, pp. 24–25, Winter 1996. opment of multilayer EUV reflective optics,”
mechatronics engineering company and Ph.D. thesis, Univ. of Twente, Enschede, The
[7] D. Rio, private communication, 2023.
system integrator, taking care of stages, Netherlands, 2012.
metrology, EUV source, etc. We can ask [8] B. Streefkerk et al., “Extending optical
lithography with immersion,” in Proc. 27th [21] J. T. Neumann, P. Gräupner, W. Kaiser, R.
how this will continue. As usual the three SPIE Optical Microlithography, 2004, vol. Garreis, and B. Geh, “Interaction of 3D mask
main knobs: k1, NA, and m, will be used. 5377, p p. 2 85 –305, doi: 10.1117/12 . effects and NA in EUV lithography,” in Proc.
With the first parameter, optical lithogra- 534009. SPIE Photomask Technol., 2012, vol. 8522,
pp. 322–333, doi: 10.1117/12.2009117.
phy will be able to support the continua- [9] J. Finders et al., “Double patterning lithog-
tion of Moore’s law well within the next raphy for 32 nm: Critical dimensions uniformi- [22] J. B. P. van Schoot, C. Valentin, K. van
ty and overlay control considerations,” Ingen-Schenau, and S. Migura, “EUVL
decade. After this, the requirements from lithography scanner for sub 9nm resolution,”
J. Micro/Nanolithography MEMS MOEMS,
a device perspective will determine the in Proc. Int. Symp. Extreme Ultraviolet
vol. 8, no. 1, 2009, Art. no. 011002, doi:
need to make another step in NA or even 10.1117/1.3079349. Lithography, Washington DC, USA, 2014,
wavelength. For now, 0.33-NA systems vol. 9422, pp. 449 – 460, doi: 10.1117/
[10] B. Vandewalle, B. Chava, S. Sakhare, J.
are adopted by the large semiconductor 12.2087502.
Ryckaert, and M. Dusa, “Design technology
manufacturers. The next-generation high- co-optimization for a robust 10nm Metal1 solu- [23] S. Migura, B. Kneer, J. T. Neumann, W.
tion for logic design and SRAM,” in Proc. Kaiser, and J. B. P. van Schoot, “EUV lithog-
NA system is in its final state of integra-
SPIE Des.-Process-Technol. Co-optim . raphy optics for sub 9nm resolution,”
tion and will be ready for the first in Proc. Int. Symp. Extreme Ultraviolet
Manufacturability, 2014, vol. 9053, pp. 208–
exposures in the first half of 2024 and will 220, doi: 10.1117/12.2048079. Lithography, Washington DC, USA, 2014,
determine the edge of the technology for vol. 9422, Art. no. 94221G, doi: 10.1117/
[11] H. Meiling, “First performance results of
many years to come. 12.2175488.
the ASML alpha demo tool,” in Proc. SPIE
Emerg. Lithographic Technol., 2006, vol. [24] J. van Schoot et al., “High-numerical
Biography 6151, pp. 49–60, doi: 10.1117/12.657348. aperture extreme ultraviolet scanner for 8-nm
lithography and beyond,” J. Mi c ro /
Jan van Schoot is a [12] M. van den Brink, H. Jasper, S. Slonaker,
Nanolithography, MEMS, MOEMS, vol. 16,
director of system P. Wijnhoven, and F. Klaassen, “Step-and-scan
no. 4, 2017, Art. no. 041010, doi: 10.1117/1.
engineering at ASML, and step-and-repeat: A technology comparison,”
JMM.16.4.041010.
in Proc SPIE Opt. Microlithography, 1996, vol.
5504 DR Veldhoven, 2726, pp. 734–753, doi: 10.1117/12.240936. [25] J. van Schoot and J. Jasper, “Chapter 9:
The Netherlands, Fundamentals of EUVL Scanners,” EUV
[13] G. de Zwart et al., “Performance of a step-
and a fellow of the Lithography, 2nd ed., V. Bakshi, Ed., Bellingham,
and-scan system for DUV lithography,” Proc.
WA, USA: SPIE, 2018, pp. 593–649.
International Society SPIE Opt. Microlithography, 1997, vol. 3026,
for Optics and Photonics. pp. 817–835, doi: 10.1117/12.276002. [26] J. Garcia Santaclara et al., “High NA EUV
towards introduction at the customer,” in Proc.
[14] J. Mulkens et al., “Patterning control strate- SPIE Conf. EUV Lithography, Monterey, CA,
References gies for minimum edge placement error in logic USA, 2023, Art. no. 12750.
[1] W. Kaiser, “The evolvement of lithography devices,” in Proc. SPIE Metrology, Inspection,
Process Control Microlithography, 2017, Art. [27] J. van Schoot et al., “High-NA EUV
optics towards advanced EUV lithography:
no. 10145, doi: 10.1117/12.2260155. lithography exposure tool: Advantages and pro-
Enabling the continuation of Moore’s law for
gram progress,” in Proc. SPIE, Conf. Extreme
six decades,” IEEE Electron Devices Mag., [15] G. M. Gallatin, “Resist blur and line Ultraviolet Lithography, 2021, vol. 11517, Art.
vol. 2, no. 1, pp.23–34, Mar. 2024, doi: edge roughness,” in Proc. SPIE Opt . no. 1151712, doi: 10.1117/12.2572932.
10.1109/MED.2023.3343627. Microlithography, 2005, vol. 5754, pp.
38–52, doi: 10.1117/12.607233. [28] H. Butler, “Position control in lithographic
[2] K. Ronse, “Patterning infrastructure develop-
equipment – An enabler for current day chip
ment for advanced EUV lithography: Continuing [16] J. Pankert et al., “EUV sources for the manufacturing,” IEEE Control Syst., vol. 31,
dimensional scaling through EUV lithography to alpha-tools,” in Proc. SPIE Emerg. Lithographic no. 5, pp. 28–47, Oct. 2011, doi: 10.1109/
support Moore’s law,” IEEE Electron Devices Technol., 2006, vol. 6151, pp. 211–219, doi:
MCS.2011.941882.
Mag., vol. 2, no. 1, pp. 35–44, Mar. 2024, 10.1117/12.657066.
doi:10.1109/MED.2023.3336276. [29] N. Davidova et al., “Overview of stitching
[17] I. Fomenkov et al., “Industrialization of a
for high NA: Imaging and overlay experimen-
[3] G. Moore, “Cramming more components laser produced plasma EUV light source for
tal and simulation results,” in Proc. SPIE Opt.
onto integrated circuits,” Proc. IEEE, vol. 86, lithography,” in Proc. Conf. Lasers Electro-
EUV Nanolithography, 2023, vol. 12494, pp.
no. 1, pp. 82–85, Jan. 1998, doi: 10.1109/ Optics (CLEO), San Jose, CA, USA, 2017,
233–251, doi: 10.1117/12.2658511.
JPROC.1998.658762. Paper ATu4C-4. EDS

22 IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024


©SHUTTERSTOCK.COM/AGSANDREW

The Evolvement of Lithography


Optics Towards Advanced
EUV Lithography
Enabling the Continuation of Moore’s Law for Six Decades
By Winfried Kaiser , Carl Zeiss SMT GmbH

E
UV is currently the state-of-the-art This article is part of a series of three. of transistors based on cost reduction
lithography technology used to For the related articles, see [1] and [2]. through increased density or reduced
print the most critical layers of dimensions of the electronic compo-
ICs, specifically of advanced logic and The Evolvement of Lithography Optics nents of the ICs. Lithography enables
DRAM. It represents the highest achieve­ The phenomenal progress ICs have this progress by printing these compo-
ment in the industry after decades of demonstrated to this day is ruled by nents through imaging a patterned mask
development of optical lithography. “Moore’s law,” an observation and pre- on a wafer using a projection optics.
diction published by Gordon Moore in The resolution of this optical system
1965 [3], which became a self-fulfilling determines the minimal useable resolu-
Digital Object Identifier 10.1109/MED.2023.3343627
Date of current version: 21 March 2024 prophecy. It states the biennial doubling tion or critical dimension (CD) of these

2832-7683/24©2024IEEE IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024 23


\\\\ lithography in the mid-2000s. Filling
the space between the last lens surface
WITHIN EVERY GENERATION OF TECHNOLOGY WITH A SPECIFIC and the wafer with water with a refrac-
WAVELENGTH, THE NA WAS GRADUALLY INCREASED TO HIGHER tive index of n = 1.44 enables a large step
NUMBERS TO ACHIEVE HIGHER RESOLUTION. in the NA of the projection optics while
keeping the wavelength unchanged at
193 nm (called “ArFi,” where the “i”
electronic components. Because lithog- Lithography with projection optics stands for immersion). The Starlith
raphy optics must deliver superior was first used in the mid-1960s along- 1900i for the ASML scanner XT:1900i,
image quality, they have to be diffrac- side already established contact or first shipped in 2007, was the first
tion limited and exhibit extremely low proximity printing [6]. The standard lithography optics with an unsurpassed
aberrations. Here image formation is light sources were high-pressure Hg NA of 1.35 and a k 1 factor of 0.27, which
described by wave theory: The illumina- vapor lamps typically used at a blue enabled a resolution of 38 nm in 24/7
tor directs a shaped light beam through spectral line, called the g-line, with a high-volume manufacturing (Figure 1).
the mask toward the projection optics. wavelength of 436 nm. The NAs were The illuminator, often not so much
This light is diffracted by the specific around 0.125. With k 1 factors around 1, noticed, plays a key role in achieving
patterns on the mask. The projection a resolution of approximately 3 µm was such low k 1 factors. The light beam
optics collects these diffracted waves, at achieved. In 1978 the first wafer step- formed by the illuminator is diffracted
least those that can pass through its per, the GCA DSW 4800, came onto by the pattern on the mask and then
opening, called the aperture or pupil. By the market. Here the mask pattern was collected by the projection optics to
combining the collected waves, the pro- directly printed demagnified onto the form the image. By adapting the beam
jection optics creates the aerial image of wafer. The employed ZEISS projection shape to the mask pattern, interfer-
the mask on the wafer, where the photo- optics with a demagnification of 10× ence can significantly improve the
sensitive resist captures this image. had a NA of 0.28, with a k 1 of ~0.8 a image contrast of the aerial image.
The CD of a diffraction-limited resolution of 1.25 µm was demonstrated. Originally, the light shape was just a
projection optics can be expressed by a In the 1980s, the first step toward a circular beam that filled a significant
simple equation [4], [5]: shorter exposure wavelength was taken portion of the pupil, allowing partially
to achieve higher resolution. A UV coherent illumination, which enhanced
CD = k 1 $ m .  (1) spectral line of the same source type the image contrast. In the 1990s, the
NA
was chosen, now the i-line with a wave- push for higher resolution led to the
m is the wavelength of the exposure length of 365 nm. use of so-called off-axis illumination,
light, the numerical aperture (NA) is In the early 1990s, a completely new in which the light distribution is more
the sine of the half opening angle a of source type was introduced: the excimer freely shaped and directed to inner
the projection optics multiplied with the laser, using KrF gas, enabled a shorter and outer areas of the pupil to enable
refractive index of the medium on the and narrowband wavelength of 248 nm improved imaging of specific patterns
object side [ NA = n sin ^ah ], and k 1 is in the deep UV (DUV) spectral range. with increased exposure windows.
the process factor, which is limited by The next step to 193 nm was established Since there are many different pat-
the illumination setting and comprises in the late 1990s with an excimer laser terns in the numerous layers of an IC,
all other effects on imaging like con- source filled with ArF gas. it became important to enable quick
trast losses and enhancements by all Within every generation of technol- changes in the illumination setting for
elements of the imaging chain (e.g., ogy with a specific wavelength, the NA each layer. A high degree of flexibility
exposure tool, optics, mask, resist, and was gradually increased to higher num- was required, combined with the abili-
processing). The physical diffraction bers to achieve higher resolution. As ty to address very localized areas of the
limit is k 1 = 0.25. the NA grows, the challenges also grow pupil without the loss of light to sustain
Equation (1) shows the decisive because the optics are becoming larger the productivity of the exposure tool.
parameters that have enabled the con- and more complex and with tighter tol- The ASML/ZEISS solution that exhib-
tinuous development of lithography erances. The exposure tool must also its these features is called AERIAL
optics over the decades toward higher have better control over focus and over- illumination and was introduced in the
resolution. lay, and the patterning processes too had mid-1990s.
The lithography generations were to be improved for the higher resolu- As a second path to support Moore’s
primarily defined by the exposure wave- tion, especially the resists. The techni- law and in parallel to the described
length m. This property has a significant cal–economic limit for the lithography increase in resolution, the field size of the
impact on production infrastructure optics was reached with a NA of 0.93 for optics was increased from 10 × 10 mm2
and materials which are becoming sig- 193 nm. The last important step in this for the first stepper to the now-standard
nificantly more challenging with shorter progression of classical optical lithogra- of 26 × 33 mm 2 to allow larger IC
wavelengths. phy was the introduction of immersion areas and to increase tool ­productivity.

24 IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024


This standard field came with the \\\\
introduction of the scanner principle
for exposure tools in the 1990s. Since DUE TO THE EXTREME REQUIREMENTS EXPECTED FOR THE
then, the demagnification of the optics OPTICAL ELEMENTS, EXPERTS JUDGED THE FEASIBILITY OF THE
from the mask to the wafer has been set EUV OPTICS AS A POTENTIAL SHOWSTOPPER.
to 4×.
Throughout the 2010s, multiple
patterning techniques enabled fur- the “next-generation lithography.” Due The first full-field EUV optics was
ther reductions in effective resolution, to the extreme requirements expected for developed for the ASML Alpha Demo
beyond the potentials of DUV optics. the optical elements, experts judged the Tool (ADT). While the scanner was
However, these resolution improve- feasibility of the EUV optics as a poten- planned as a pure prototype machine,
ments could only be achieved with sig- tial showstopper. Based on new optical the optics was designed for later pro-
nificantly increased process complexity, technologies, specifically the new pol- duction use at full performance. In
cycle time, and production costs. ishing methods and metrology, intro- 2007, two systems were installed at the
duced in the 1990s for manufacturing research and development sites in Alba-
The Beginnings of EUV its DUV optics, ZEISS was able to dem- ny and Leuven. The ASML NXE:3100
Many researchers had quested for use- onstrate experimentally the EUV optics scanner was positioned as a preproduc-
ful wavelengths below the DUV spec- feasibility. ASML decided in 2000 that tion tool with a completely new machine
tral range for different applications and EUVL was the technology of choice to design and fully production-ready
found solutions only in the soft X-ray extend optical projection lithography. architecture. The optical system, named
or EUV range with wavelengths below The Micro Exposure Tool (MET) Starlith 3100, was based on the ADT
30 nm [7]. optics was the first EUV system that optics but with significant changes and
Hiroo Kinoshita was the first to start ZEISS worked on in collaboration with improvements.
working with soft X-rays at NTT in LLNL and LBNL. It was a simple two- The first system was delivered in
Japan in 1985 and invented what is now mirror system with a NA of 0.3 and a 2009. In total, six systems were shipped
known as EUV lithography (EUVL) [8], very small field. The purpose of this to key chipmakers in the world and
[9]. Other researchers quickly followed, optics was to demonstrate the feasibil- to IMEC.
first in the United States, then in The ity of EUV optics and to develop a sys- The Starlith 3300 for the ASML
Netherlands and Germany. The main tem that would enable initial research in scanner NXE:3300 marked the com-
research and development collaboration EUV process technologies. They were mitment to bring EUV into volume
in the European Union was kicked off in completed in 2003, then installed at chip production. It is based on a com-
1995 with an international workshop on various research facilities in Berkeley pletely new optical design with a higher
EUVL at ZEISS, supported by ASML. and Albany and at Intel, and were used NA, incorporating new ideas and many
At that time, EUVL was just one of successfully for many years and even insights from the first generation. It was
the technology candidates in the race for upgraded later. first delivered in 2012 and is the basis

Res ~ 1.25 µm Res ~ 1 µm Res ~ 0.45 µm Res ~ 0.25 µm Res = 38 nm


λ = 436 nm λ = 436 nm λ = 365 nm λ = 248 nm λ = 193 nm
NA = 0.28 NA = 0.36 NA = 0.54 NA = 0.57 NA = 1.35
k1 ~ 0.8 k1 ~ 0.8 k1 ~ 0.67 k1 ~ 0.57 k1 ~ 0.27

1972 1984 1990 1996 2007

FIGURE 1. A historic gallery of ZEISS projection optical systems from early g-line to the latest immersion optics, the Starlith 1900i.

IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024 25


of a family of systems with increasing Starlith 3800. A further improved 4000 systems with a larger NA need to
performance and features up to the most is already in development. To date, more contain mirrors with (nearly) normal
advanced system, which currently is the than 250 EUV optical systems have incidence.
been delivered. ■■ The key enabler of EUV optics is
High Reflective Coating
the existence of a special reflective
Constructive
EUV Optics Basics and System coating. There is only one viable
θ Interference Architecture material combination to produce a
There are fundamental facts that rule normal-incidence reflective mirror
N Bilayer the EUV optics architecture: coating in the soft X-ray/EUV spec-
d = λ /2 ≈ 7 nm ■■ In the EUV spectral region, bulk tral region: As a Bragg reflector, a
Small n (Mo) and gas materials are complete­­ Mo-Si multilayer (ML) defines the
Mirror Substrate Large n (Si) ly opaque. Therefore, every EUV EUV wavelength at 13.5 nm. It
optics must be built of mirrors shows with up to 100 quasi-quarter-
FIGURE 2. The EUV reflective coating is (instead of lens elements) working wave layers a maximum reflectivity
a Bragg reflector in the form of a multi- in a controlled and clean vacuum of ~75% in theory (Figure 2) and
layer consisting of up to 100 layers [10]. environment. All reflective optical ~70% in practice. The spectral

N = 50 d = 61.91 nm
Rmax = 75.2% FWHM = 0.6 nm
0.8 0.8
AOI = 9° Rmax λ = 13.5 nm
0.7 0.7
0.6 0.6
ctw100
Reflectance

Reflectance

0.5 0.5
0.4 FWHM 0.4
0.3 0.3
0.2 0.2
ctw50
0.1 0.1
0 0
12.5 13 13.5 14 14.5 0 15 10 15 20 25
Wavelength (nm) Normal Angle of Incidence (°)
(a) (b)

FIGURE 3. The (a) spectral and (b) angular bandwidth of an EUV multilayer is quite limited [10].

Mask
EUV Source
Projection Optics

IR Laser Plasma

Intermediate
Collector
Focus

Illuminator
Design Scheme
Wafer

FIGURE 4. A design scheme of an EUV optical train consisting of a source, a collector, an illuminator, a mask, projection optics,
and a wafer.

26 IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024


and angular bandwidths are quite \\\\
limited [Figure 3(a) and (b), respec-
tively]. Tin was chosen for the THEREFORE, THE NEW ILLUMINATOR FOR THE STARLITH 3300
source plasma because of its high (FIGURE 5) FEATURED A VERY IMPORTANT INNOVATION: THE
emittance at 13.5 nm [1]. INTRODUCTION OF FLEXIBLE ILLUMINATION IN EUV WITHOUT
This has very general consequences: TRANSMISSION LOSS.
■■ Since two mirrors already reduce the
light by more than 50%, the number
of mirrors is constrained to a mini- which represents the layout of the IC ing by inserting blades to change the
mum to secure an acceptable trans- layer to be printed. The standard format coherence and therefore enhance the
mission of the optical system and of the EUV mask is a square plate of contrast. In this way, 32-nm resolution
therefore scanner productivity. 6 in × 6 in, geometrically the same as for was achieved corresponding to k 1 of
■■ Due to the correspondingly high DUV masks. 0.6 for the ADT and 27 nm with k 1 of
absorption of the ML (~30%), Since the mask is a mirror, the 0.5 for the 3100. With special “dipole”
ultralow thermal expansion materi- incoming light from the illumina- blades, even 22 nm ( k 1 =0.4) was dem-
als are necessary for the mirror sub- tor and the outgoing light toward the onstrated.
strates in order to keep the mirror projection optics have to be separated. While this solution was accept-
surfaces in tight tolerances even The light cannot shine orthogonally able in early R&D, a new approach
after some warming up. onto the mask; it needs to have an was required for a production-worthy
■■ Mirrors are typically four times oblique incidence angle, called the system. Therefore, the new illumina-
more sensitive to surface deviations chief ray angle (CRA), for which the tor for the Starlith 3300 (Figure 5)
compared with lens surfaces, and the standard is 6°. featured a very important innovation:
influence of these residual deviations Finally, the wafer with the photo- the introduction of flexible illumi-
on imaging scales with the inverse of sensitive resist acts as a sensor of the nation in EUV without transmission
the wavelength. Therefore, the aerial image. loss. This was realized by making
extremely short EUV wavelength All optical modules are strictly the field facets analog tiltable. This
requires typical extreme optical tol- designed for minimal transmission loss way they were able to direct the light
erances «100 pm. in order to make the best possible use to different pupil facets and to gen-
■■ Since mirrors are also very position of the valuable photons from the source erate specific light distributions in
sensitive (different from lens ele- and to support high productivity of the the pupil (Figure 6). This enabled a
ments), their position control needs exposure tool. The illuminator and the highly flexible pattern-specific opti-
extreme accuracy and stability in the projection optics determine the primary mization of the pupil filling without
order of sub-nm and sub-nrad, imaging performance of the whole sys- light loss.
which requires substantial mecha- tem, each in a different way. While the 3300 illuminator was the
tronics and control efforts for the very first step to flexible illumination,
optical system. The Evolvement of EUV a significant improvement was intro-
The characteristic EUV optical Illuminators duced with the 3400 illuminators: the
train is shown in Figure 4. It compris- The illuminator lights the mask area flexibility was substantially extended,
es the collector, physically part of the uniformly while directing a specific which allowed the reduction of the pupil
source module, which guides the EUV light distribution into the pupil of the fill ratio from 40% to 20%, enabling
light from the tin plasma spot of the projection optics. The basic principle of smaller k 1 factors. This flexibility
source into the illuminator. The illu- the illuminator is the so-called
minator lights the mask and directs a “Koehler-type illumination,” realized by
shaped light beam via the mask toward two of the mirror elements being com-
the projection optics (often called the plementarily faceted to act as a “fly’s
projection optics box [POB]) in a lay- eye integrator.” These two mirrors mix
out with six mirrors, which collect the incoming light homogeneously and
the light diffracted by the mask pat- allow a specific pupil distribution.
tern, and by combining them by inter- The first two EUV full-field optics
ference, it forms the aerial image on generations, the ADT and the Star-
the wafer. lith 3100, had static illuminators with
The mask is a separate part of the fixed facet mirror arrays, the field and
optical train. Similar to all other EUV the pupil facet mirror arrays. The pupil
optical elements, it is a flat mirror with filling of the ADT was circular with
a similar ML reflective coating covered 50% Ø and 80% Ø for the 3100 with FIGURE 5. The illuminator of the Starlith
by a structured thick absorption layer, the possibility to modify the pupil fill- 3300 product family.

IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024 27


enabled a great number of optimized by additional small patterns to correct The combination of the improve-
pupil settings applicable in volume pro- for diffraction-caused imaging effects. ments of the POB performance and
duction (Figure 7). The combination of mutual optimiza- illuminator innovations allowed the
Another strong advantage of the tion of the pupil distribution and OPC resolution to be boosted from 18 nm
flexible illumination is its use in combi- is called source–mask optimization ( k 1 = 0.44) for the 3300 to 13 nm
nation with optical proximity correction (SMO) and is a very strong method to ( k 1 = 0.32) for the 3400.
(OPC), where patterns on the mask are optimize the patterning of specific, crit- Also, the illuminator for the Star-
modified and their imaging is supported ical patterns in a chip layout. lith 5000 realizes highly flexible illu-
mination.

The Evolvement of EUV Projection


Field Facet Mirror Optics (POB)
The optical designs of the POB for the
ADT and the 3100 were quite similar.
They featured a demagnification of 4×
and a standard slit length of 26 mm,
allowing a full field size of 26 ×
IF 33 mm2, all parameters fully compati-
Pupil Facet Mirror
ble with all DUV scanners.
To secure nearly perfect image qual-
FIGURE 6. By switching each field facet from one pupil facet to another pupil facet, the
ity and positioning, only ext­remely low
illumination setting is changed from one dipole to a 90°-rotated dipole or to other pupil
fillings without loss of transmission. aberrations and flare levels are allowed.
To enable accordingly small design
aberrations with a minimum number
of mirrors in the projection optics, all
of them must have aspheric surfaces.
To avoid mutual obscurations of the
mirrors, the all-reflective EUV pro-
jection optics is characterized by the
folded, typical zigzag beam path in an
off-axis configuration (Figure 4). The
field of the POB is a narrow slit with a
bow shape, which is scanned over the
wafer precisely controlled and synchro-
nized with the scanning of the mask by
the scanner.
In the beginning of EUV, flare was
seen as the most critical imaging effect
FIGURE 7. The high flexibility of the 3400 illuminator enables a multitude of pupil and even judged as a potential show-
fillings. (Source: ASML.) stopper for this technology. Since the

FIGURE 8. The POB of the Starlith 3300


product family. FIGURE 9. Mirror handling during POB integration.

28 IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024


EUV wavelength is so small, the light producing a light halo around every ing, which implies light loss, degrad-
reacts extremely sensitively to the tiniest image point and this way reducing ing reflectivity and therefore system
deviations. the image contrast and degrading the transmission.
Indeed, one of the biggest challenges CD. High spatial frequency roughness The art of manufacturing EUV
was the high flare of the ADT POB, (HSFR) causes wide-angle scatter- optics is to improve figure and finish
showing values of ~16%.
For the Starlith 3100 the basic
design of the POB remained un-
changed and exhibited the full field 1014
size. The main effort was to signifi- 1012
cantly improve the imaging perfor- 2D-Isotropic PSD
1010
mance and especially the flare level
108

Power (nm4)
to a more acceptable level of 8% by Figure
106
refined mirror polishing; finally, Aberrations
<6% was achieved (see Figure 13). 104
Simultaneously, these improved opti- 102 MSFR
cal processes allowed a reduction of 100 Flare, Contrast
in Field of View Scattering HSFR
the aberrations. A new interferometer 10–2
Reflectivity
was developed to measure the com- 10–4
plete POB under the conditions of use 105 104 103 102 101 100 10–1 10–2
for the full control of the aberrations Wavelength (µm)
caused by mirror deviations and sys-
tem adjustment. FIGURE 10. A schematic 2D isotropic PSD. The rms roughness is defined in a specific
spatial frequency band. HSFR: high spatial frequency roughness; MSFR: midspatial
frequency roughness.
The Second EUV Optics Generation:
The Breakthrough With NA 0.33
The Starlith 3300 POB (Figure 8) is
based on a different layout. The demag-
nification and field size remained
unchanged. The NA was increased in a
significant step to 0.33. This caused a
substantial growth of the optics: the
largest mirrors (Figure 9) have dimen-
sions of ~0.5 m Ø, and the POB weights
>1.5 tons, resulting from the extremely
stiff body with precise mirror mounting.
(a) (b)
Like for all optical lithography
systems, the key optical performance FIGURE 11. High-precision polishing: (a) CCP and (b) IBF.
parameters of an EUV projection
optics fall into the three categories of
­aberrations, flare, and transmission.
Imperfections of an optical surface
are characterized by the power spec-
tral density (PSD) over the full surface
(Figure 10). Long-wave deviations are
called figure; they cause aberrations in
the image like astigmatism and coma
or distortion. Long-wave deviations
scale with the inverse of the wave-
length. Higher-order deviations are
summarized under finish and cause
scattering; they scale with the inverse
of the second power of the wave-
FIGURE 12. The full aperture interferometer for ZEISS High NA EUV surface figure me-
length. T he m idspatial frequency trology. The instrument works under advanced climate control in vacuum for the highest
roughness (MSFR) like surface ripples precision and accuracy. It must be capable of characterizing mirrors with 1 m diameter.
cause flare, a small-angle scattering The weight of the large mirrors requires robotic loading.

IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024 29


in an iterative process to extremely ferometry (Figure 12), which serves the surfaces. Based on the experience
low levels since the methods to correct as a reference and defines the absolute with the already improved 3100 POB,
them are antagonistic and especially accuracy of the mirror. Finish cor- the industry partners requested a 4%
challenging for aspheric surfaces. rection is carried out by smoothing specification to allow a compensation
Figure is corrected by local polish- polishing controlled by interference with moderate OPC efforts. This was
ing methods like computer-controlled microscopes (MSFR) and by AFM achieved with the 3300 and remained
polishing [CCP; Figure 11(a)] and ion (HSFR). the baseline for all future versions
beam figuring [IBF; Figure 11(b)]. Therefore, a very strong focus of (Figure 13).
This correction is controlled in a feed- the optics process development was In parallel to the reduction of flare,
back loop by highest-precision inter- on the improvement of the finish of the next challenge was and still is to
improve the figure of the mirror surfac-
es that causes aberrations and affects
Flare
image quality directly. These aberra-
20 tions are represented by the wavefront
of the POB and measured with the
15 system interferometer. Beyond 3100,
Flare (%)

a significant step in the reduction of


10
the aberrations was achieved for 3300.
5 In addition to the wavefront error, the
distortion of the projection optics is
0 a critical error because it affects the
ADT 3100 3300 3350 3400
position and deformity of the image
and contributes to the overlay perfor-
FIGURE 13. The progress in system flare reduction. Each bar represents a shipped
system. The ZEISS Starlith 33x0 systems consistently meet the 4% flare specification.
mance of the scanner. Both aberration
types must be controlled precisely and
have been further improved in the suc-
Wavefront Aberrations (nm) ceeding systems Starlith 3400, 3600
1.4 and the recent 3800 (Figure 14).
The basis for this progress is the
1.2
significantly improved mirror surface
1 quality over time. Typical values for
the different generations are shown in
0.8 Figure 15.
Improvements of HSFR and of the
0.6
coating process have resulted in a
0.4 strong gain in the system transmission
illuminator and POB. The overall
0.2 transmission has been raised from
0 version to version to support high
ADT 3100 3300 3400 3600 3800 productivity of the ASML scanner
(Figure 16).
FIGURE 14. Improvements of the wavefront aberrations for the EUV POB generations.

The Third Generation: The Future


Optics MET ADT 3100 3300 3400
With High-NA EUV
To continue Moore’s law into the
Photos Show future, a significant leap in optical sys-
Relative POB Size tem performance was required, namely,
NA 0.25 NA 0.33
an optical system with a high NA and
Figure 350 250 140 75 50 Aberrations
(pm rms)
resolution: a NA of 0.55 has been
achieved, allowing 8-nm resolution.
MSFR 250 200 130 100 80 Flare
(pm rms)
The new Starlith 5000 is the opti-
cal heart of the new ASML scanner
HSFR 300 250 150 100 100 Light Loss
(pm rms) EXE:5000. Both the first High NA
EUV illuminators and the POBs were
FIGURE 15. The evolution of the typical optical quality parameters for the mirrors of shipped in 2023 after a lengthy and
different EUV POB generations. arduous development period of nearly

30 IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024


two decades. The scanner is planned the demagnification of the POB (4×). it will soon overlap with the (same)
to be operational in 2024 at ASML, If the opening angle of the light cone opening angle from the mask to the
operated by imec. toward the mask grows with the NA, POB, which is technically ­i mpossible.
Big steps typically cause big chal-
lenges [12]. The first and inevitable
challenge was to find an optical design Transmission (a.u.)
solution for the POB with superior 2
imaging performance with aberra- 1.8
tion in the mm range to support the 1.6
higher resolution. This required many 1.4
years and even more new ideas, lead-
1.2
ing finally to a completely new design
architecture as can be conceived 1
from the photo in Figure 17. It exhib- 0.8
its larger mirrors up to 1 m diameter 0.6
with extremely aspheric surfaces, a 0.4
much bigger challenge for manufac-
0.2
turing (Figure 18). Every POB weighs
~12 tons, which also illustrates the 0
ADT 3100 3300 3400 3600 3800
revolutionary step taken.
Another expected challenge was FIGURE 16. The evolution of the transmission for the consecutive EUV tool generations,
caused by the physical limitations of with the transmission for Starlith 3100 used as a reference.
the reflective ML coating. Due to the
principle of the Bragg reflector with
constructive amplification over many
layers, its reflection bandwidth is rela-
tively small in both the wavelength and
the angular spectrum (Figure 3).
High NA refers to the larger angles
on the wafer side, but in fact, the angles
are larger on all mirrors in the optical
system. Especially the last mirror in the
POB grows with the NA and forces the
light beams toward the second-to-last
mirror to the side. This would cause
strong oblique angles on this mirror
beyond the capability of the ML coat-
ing. The solution is a design layout with
the last and the second-to-last mirrors
in a more inline configuration This FIGURE 17. The POB of the Starlith 5000.
requires holes in the mirrors, which
allow the light to pass through with
moderate angles (Figure 19).
The result now is a pupil with a
central obscuration, which affects
noticeably the image formation. This
can be compensated by choosing an
appropriate illumination setting com-
bined with OPC.
The third challenge came, partly,
as a surprise and was a very hard
nut to crack [13]. Like on all other
mirrors, the angles at the mask also
grow with a bigger NA. In fact, the
NA of the light cone at the mask is
exactly the NA at the wafer divided by FIGURE 18. Mirror substrate of the Starlith 5000.

IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024 31


The light would be obscured on both masks with broadband coatings with inner zone of the pupil falls nearly
sides with light loss and bad imaging reduced reflectivity. orthogonally onto the mask and passes
effects. An obvious solution would However, there is an even stronger the open areas of the absorber with
be to choose a larger CRA, e.g., 9°. effect, the mask shadowing, a specific only minimal effects, while light from
With the larger CRA together with type of mask 3D effect. The primary the outer zone of the pupil with more
the bigger opening angle, there would cause of this effect originates (again) oblique rays is partly cut off by the
be a broad angular range on the mask from the type of the reflective coating absorber when entering and leaving
surface from nearly orthogonal to on the mask as a thick Bragg reflector. the ML. The significant thickness of
strong oblique rays at the outer area This means that the effective plane of the absorber (50–70 nm) enhances this
of the pupil, which overburdens the reflection is not on the top of the mir- effect (Figure 20).
angular reflectivity range of the ML. ror surface but some 100 nm deep in T he shadowi ng ef fe ct causes
This topic was already envisioned, the ML. Now there are mask patterns not only significant light loss but a
and it would finally either limit the in the form of absorber trunks above disastrous contrast loss in the image
maximum feasible NA or require the ML. Obviously, light from the due to the intensity imbalance of the
diffracted beams in the inner and
the outer zones of the pupil. This
strong imaging effect is pronounced
High-NA High-NA in the CRA tilt direction (which is at
Medium-NA
Unobscured Obscured
the same time the folding direction
of the POB optic and the scanning
Last direction of the exposure tool) but
Mirror not critical in the orthogonal direc-
tion. So, the imaging performance is
highly nonuniform in different direc-
tions, which is totally unacceptable.
The only solution to reduce the
angles on the mask side for a given NA
is to increase the demagnification (also
called “Mag”) to, e.g., 8×.
Second to Last This would have critical conse-
Mirror quences: When using the standard
mask (6 in2), the usable field size of
Wafer Level
the optics would be reduced to a quar-
(a) (b) (c) ter, which would cause a significant
productivity hit, not an economically
FIGURE 19. This sketch shows the last two mirrors of POBs with (a) medium NA like attractive product solution. The other
0.33 and high NA like 0.55 (b) without and (c) with central obscuration. The central alternative would be to quadruple the
obscuration reduces the angular distribution of rays for high NA significantly. mask size to 12 in2, but this would
require a completely new infrastruc-
ture for the whole mask-making indus-
try. Both ways are economically not
Mask viable and were therefore denied by the
Strong Shadowing Weak Shadowing
industry partners.
However, there is an elegant solu-
tion with a new imaging concept. The
l
Ao

l
Small Ao

fact that the performance degradation


rge

by mask shadowing happens only in one


La

direction allows a new path: increase


the reduction ratio only in the fold-
ing and scan direction and leave it in
the orthogonal direction. This implies
(a) (b) (c) an anamorphic optical system with a
demagnification of 8× in one and 4×
FIGURE 20. (a) and (b) The inner parts are only minimally obscured, while the outer
parts are heavily obscured due to the deep penetration of light into the multilayer and the in the other direction, a nonrotational
significant thickness of the absorber structure. (c) The outer and inner areas of the pupil imaging geometry (Figure 21) [14].
are impacted by mask shadowing in very different ways. While the entrance pupil of the High

32 IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024


NA EUV POB on the mask side is now
elliptical, the exit pupil on the wafer side @Mask @Wafer
remains still circular to allow uniform 104 mm
imaging for all pattern orientations on y 26 mm

132 mm
the wafer. The patterns on the mask NA 0.33, x MAG 4×

33 mm
must be stretched in the one direction by CRA 6°
a factor of two to compensate the asym- Slit
metric demagnification. A clear conse- (a)
quence of the anamorphic imaging is a 104 mm
half field on the wafer, while the stan- y
26 mm

132 mm
NA 0.5,
dard mask format remains unchanged. x MAG 4×
CRA 6°

33 mm
The fidelity of anamorphic imaging
is proven by extensive critical simula-
tions (Figure 22). (b)
The price on the system level is 104 mm
an even higher complexity in the lens y
26 mm

132 mm
NA 0.5,
design and aspheric mirror manufactur- CRA 9°
x MAG 4×

33 mm
ing. In addition, this path implies also
big challenges for the exposure tool:
(c)
new solutions for the stage actuation are
required to compensate the productivity 104 mm
hit and for stitching the two half fields y
NA 0.5, 13 mm
to generate the standard size compat- 132 mm x MAG 8×

16.5 mm
CRA 6°
ible with all other scanner types with
adequate imaging performance. The
(d)
new solutions in the ASML EXE:5000
made this compromise attractive for the 104 mm
industry [15], [16], [17]. y 26 mm

16.5 mm
132 mm

This way the anamorphic opti- NA 0.5, x MAG x 4×


CRA 6°
cal system of the Starlith 5000 with a MAG y 8×
reduction ratio of 8×/4× and a half field
will enable the big step to a high NA of (e)
0.55 with a resolution of <8 nm showing
superior imaging in the new designed FIGURE 21. (a) At NA 0.33, light bundles to the mask and leaving it are separated.
(b) With NA > 0.4 and an unchanged demagnification (MAG 4×), they would coincide,
scanner. which is physically not possible. (c) A larger CRA of 9° would separate the light bundles
but exhibits bad imaging. (d) A higher demagnification (MAG 8×) would allow only a
A Fourth-Generation EUV: quarter field. (e) For the anamorphic solution with a higher demagnification (MAG 8×) in
“Hyper NA,” the Solution the critical direction, they are clearly separated; the other direction can stay with 4× with
for the Farer Future? the consequence of a half field.
Is there a path to continue the suc-
cessful development of lithography And yes, recent lens design stud- explicitly, the development of the
into the future for higher resolution? ies have validated that the innovative EUVL optics is described with its very
Lithography optics with a shorter optics architecture of the High-NA specific challenges and solutions, which
wavelength than 13.5 nm would require EUV system with anamorphic imaging make it the enabler of today’s most
alternative material combinations for on a half field could be extended to a advanced IC production and offer new
the ML, not known today, which would “Hyper NA” system with a NA of ~0.75 attractive paths for the future.
allow the building of optical systems (Figure 23) targeting a resolution of
with significant NAs, or even com- ~6 nm with high productivity [18]. Acknowledgment
pletely different innovative solutions. This article contains the work of the
This long-lasting path seems to come Summary teams developing EUV optics and its
to an end with EUV at 13.5 nm. This article gives a short overview of technologies at Carl Zeiss SMT in
However, there are brilliant ideas the development of lithography optics Oberkochen (Germany), strongly sup-
for new illuminators that would reduce up to recent High-NA EUV systems ported by ASML teams in The Nether-
the pupil filling to ~10%, allowing k 1 enabling the continuation of Moore’s lands and many partners worldwide,
< 0.30, and therefore push the resolution law by continuously raising the resolu- striving to support the dimensional
to 7 nm with NA 0.55. tion of the optical systems. More scaling in advanced logic and DRAM

IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024 33


Wahrnehmung,” Archiv Für Mikroskopische
Anatomie, vol. 9, no. 1, pp. 413 – 468,
HF Dec. 1873, doi: 10.1007/BF02956173.
FF
[5] B. J. Lin, “Where is the lost resolution?” in
Proc. SPIE Opt. Microlithography, 1986,
176 176 pp. 44–50, doi: 10.1117/12.963701.
[6] S. Paetrow, Enabling the Digital Age.
132 132 Munich, Germany: Hanser, 2022.
(a)
[7] H. Kinoshita, T. Kaneko, H. Takei,
N. Takeuchi, and S. Ishihara, “Study on x-ray
88 88 reduction projection lithography,” presented at
the 47th Autumn Meeting Japan Soc. Appl.
Phys., 1986, Paper 28-ZF-15.
44 44
[8] H. K inoshita, Extreme Ultraviolet
Lithography: Principles and Basic Tech­
0 0 nologies. Saa­rbrücken, Germany: Lambert
0 33 66 99 132 0 33 66 99 132 Academic Publishing, 2016.
x x
[9] J. Henry, E. Spiller, and M. Weisskopf,
(b) (c) (d)
“Imaging performance of a normal inci-
dence x-ray telescope measured at
FIGURE 22. (a) The clip of a logic clip is imaged with (b) an optimized pupil distribu- 0.18KeV,” in Proc. SPIE High Resolution
tion. (c) The simulated image with a higher NA and larger CRA [see Figure 21(c)] results Soft X-Ray Opt., 1981, pp. 166–168, doi:
in a dim, underexposed image with weak contrast of the horizontal patterns and higher 10.1117/12.933138.
contrast for the vertical. (d) The image with the anamorphic optics [see Figure 21(d)]
[10] V. Bakshi, EUV Lithography, 2nd ed.
exhibits a uniform and well-exposed representation of all patterns. (Source: ASML.)
Bellingham, WA, USA: SPIE Press, 2018.
[11] B. Smith and K. Suzuki, Microlitho­
graphy, 3rd ed. Boca Raton, FL, USA: CRC
Press, 2020.
[12] W. Kaiser et al., “The future of EUV,” in
Proc. SPIE, 2008, vol. 6294.
[13] J. T. Neumann, P. Gräupner, W. Kaiser, R.
Garreis, and B. Geh, “Interaction of 3D mask
effects and NA in EUV lithography,” in Proc.
Photomask Technol. SPIE, 2012, vol. 8522,
Art. no. 852211, doi: 10.1117/12.2009117.
[14] US 9,366,968 B2 (Mann), 2016 (Priority
Sep. 15, 2010)
[15] S. Migura, B. Kneer, J. T. Neumann, W.
Kaiser, and J. B. P. van Schoot, “EUV lithog-
raphy optics for sub 9nm resolution,” in Proc.
Int. Symp. Extreme Ultraviolet Lithography,
FIGURE 23. A candidate for the future: a Hyper NA POB with an NA of ~0.75. Washington, DC, USA, 2014, Art. no. 94221G,
doi: 10.1117/12.2175488.
[16] J. B. P. van Schoot, C. Valentin, K. van
designs. All team members are ac­­ until his retirement. He is an SPIE Fellow Ingen-Schenau, and S. Migura, “EUVL
knowledged for their dedication and Member, and he received the SPIE Frits lithography scanner for sub 9nm resolution,”
effort. Specifically, I thank Sascha Zernike Award in 2020 and the SEMI in Proc. Int. Symp. Extreme Ultraviolet
Migura and Bernhard Kneer for the SME Bob Graham Award in 2014. Lithography, Washington, DC, USA, 2014,
pp. 449–460.
support in writing this article.
References [17] J. van Schoot et al., “High-numerical
aperture extreme ultraviolet scanner for
Biography [1] J. van Schoot, “Exposure tool development
8-nm lithography and beyond,” J. Micro/
for EUV lithography,” 2024.
Winfried Kaiser Nanolith. MEMS MOEMS, vol. 16, no. 04,
(winfried.kaiser. [2] K. Ronse, “Patterning infrastructure devel- 2017, A r t. no. 0 41010, doi: 10.1117/1.
opment towards high NA EUV lithography,” JMM.16.4.041010.
ex t @ z e i s s . c o m )
2024.
was a ZEISS [18] J. Zimmermann, J. T. Neumann, D.
[3] G. E. Moore, “Cramming more components
Fellow and SVP of Jürgens, and P. Gräupner, “Status and outlook
on electronic circuits,” Electronics, vol. 38,
Product Strategy at of EUV optics at ZEISS,” in Proc. Int. Conf.
no. 8, p. 114, 1965.
Extreme Ultraviolet Lithography, 2023, Art.
Carl Zeiss SMT [4] E. Abbe, “Beiträge zur Theorie des no. 127500O, doi: 10.1117/12.2687658.
GmbH, D-73447 Oberkochen, Germany, Mik roskops und der m ik roskopischen 

34 IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024


Patterning Infrastructure
Development for Advanced
EUV Lithography
Continuing Dimensional Scaling Through EUV Lithography to
Support Moore’s Law

By Kurt Ronse

E
UV lithography is currently the
state-of-the-art lithography technol-
ogy that is used for printing the
most critical layers in advanced logic and
DRAM chips. It uses a 13.5-nm wave-
length, and the projection optics have a
numerical aperture (NA) of 0.33. EUV
has taken over from 193-nm immersion
lithography where more and more multi-
ple patterning steps were needed to print
these critical layers, resulting in a higher
cost, longer turnaround time (TAT), and
reduced yield. The newest developments
in EUV lithography are to further push
the resolution by building a higher NA
lens. For that, ASML and Zeiss are
developing a new scanner [1] and new
0.55-NA optics [2]. XXXXX

Although EUV resists and masks are


being used in production today, further ©SHUTTERSTOCK.COM/MAXON TAN

patterning infrastructure improvements


are mandatory to enable high-volume the complexity and TAT by replacing a 0.55-NA “high-NA” EUV system is
manufacturing (HVM) with high-NA 193-nm immersion multiple patterning being developed to come on the market
EUV. In this article, the preparations on several layers with EUV single pat- in 2025.
for EUV resists, EUV underlayers terning. The scaling in advanced chip However, the patterning infrastruc-
(ULs), EUV metrology, EUV masks, design continues to stay on Moore’s ture around the scanner will also undergo
and pellicles will be explained. This law, although dimensional scaling is some changes for further improvement.
work is being done with the ecosystem helped by design and system technolo- This article will describe the preparations
partners to provide solutions for the gy co-optimization. To enable further for EUV resists, EUV ULs, EUV metrol-
release of the high-NA EUV scanner. dimensional scaling, the advanced com- ogy, EUV masks, and pellicles. This
panies started to implement double pat- work is carried out with the e­ cosystem
Introduction terning with EUV, resulting again in partners (meaning all the companies that
EUV lithography has been in HVM increased complexity, TAT, and reduced critically contribute to the technology,
since 2019. This significantly reduced yield. Developments of higher NA like material suppliers, equipment sup-
EUV lithography can bring double pliers, inspection suppliers, and EDAs,
exposure back to single exposure and etc.) to provide solutions for the release
Digital Object Identifier 10.1109/MED.2023.3336276
Date of current version: 21 March 2024 would hence overcome this. Therefore, of the high-NA EUV scanner.

2832-7683/24©2024IEEE IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024 35


\\\\ FT and Dry Etch
The dry etch resistance of the resist
IN THIS ARTICLE, THE PREPARATIONS FOR EUV RESISTS, EUV with the UL is reduced when the films
UNDERLAYERS (ULS), EUV METROLOGY, EUV MASKS, AND get thinner. These effects are more pro-
PELLICLES WILL BE EXPLAINED. nounced in the traditional EUV chemi-
cally amplified resists (CARs), which
are the workhorses at 0.33 NA. A new
EUV Processes Besides the reduced resist thick- type of EUV resist is the so-called
ness, the UL or hard mask (HM) metal-oxide resist (MOR) (Figure 3).
Depth of Focus and Film Thickness also needs to be thinner since the They consist of small tin-oxide (Sn-
The higher NA lens will result in a sig- thinner resist has less etch resistance oxide) molecules connected by organic
nificant drop in the depth of focus (Figure 1). The UL will need a good ligands. They can be wet or dry devel-
(DOF). The DOF of a lens is defined as adhesion to the resist as well as to the oped. They are negative tone (due to
substrate below. Next, it needs to have crosslinking ligands) and exhibit a
-
m a good dry etch selectivity toward that higher etch resistance, and they are
DOF = k 2
^NA h2 substrate. Both spin-on and deposited already thinner, so the impact of low
ULs can be used. In general, the atom- DOF in a high NA is expected to be
where m is the wavelength, and k 2 is a ic layer-deposited films can be coated more limited.
process-dependent factor. thinner without pinholes and have a Metal oxide and CAR resists will
Due to the square term of the NA, the good etch resistance. Their composi- likely be selected for different use cases.
DOF goes rapidly down when increasing tion also allows them to match the As the performance and positive tone
the NA from 0.33 to 0.55. Since the resist resist film for better profile control tonality of chemically amplified resists
thickness is a part of the focus budget, and good adhesion. are best for logic contact holes, most like-
it is generally expected that the thick- ly, CAR resists will be used. Currently,
ness needs to be reduced significantly Film Thickness and Metrology negative tone resists are in the lead for
in comparison to the commonly used Reduced resist thickness has a negative high-resolution logic metal lines and for
thicknesses at 0.33-NA EUV. Besides impact on the post-litho optical and hexagonal pillars used in DRAM.
DOF reduction, the risk for pattern col- e-beam metrology contrast [3]. Figure 2
lapse will also require a thinner resist as shows how the image contrast in a EUV Stochastics
the aspect ratios of the resist lines tend to scanning electron microscope (SEM) One of the biggest hurdles to get EUV
increase at smaller dimensions. degrades with reduced resist film thick- into manufacturing was the stochastics.
ness (FT). Stochastics have two main contributors
Depending on how thin the resist 1) shot noise, as discussed in the article
0.33 NA ≥ 0.55 NA must be, it may become impossible to by Jan van Schoot [1]
70
Resist accurately derive the line-edge rough- 2) materials-driven stochastics [3], [4].
Film Thickness (nm)

60
Underlayer
50 ness (LER) from these pictures. Sev- Material properties, like non­h omo­
40 eral solutions are underway to mitigate geneous distribution of material compo-
30 these effects. nents in the film, also lead to stochastic
20 ■■ The right choice of UL material effects.
10 can improve the metrology image
0 contrast. Stochastics and Roughness
48
44
40
36
32
28
24
20
16
12
8

■■ Optimization of the knobs of the Stochastic effects can


Pitch (nm) SEM can significantly improve the 1) increase roughness of lines (so-
FIGURE 1. The trend in reduced resist image contrast. called LER)
and UL thickness going from 0.33 to ■■ Machine learning techniques are being 2) increase the nonuniform dimensions
0.55 NA. deployed to denoise the pictures. of contact holes or pillars [so-called

Target FT = 10 nm Target FT = 15 nm Target FT = 20 nm Target FT = 25 nm Target FT = 30 nm

FIGURE 2. Electron beam image contrast degrading when scaling film thickness (FT) down from 30 nm to 10 nm.

36 IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024


local critical dimension uniformity \\\\
(LCDU)].
Typically, these effects increase if the AS THE PERFORMANCE AND POSITIVE TONE TONALITY OF
dimensions scale, as illustrated in Fig- CHEMICALLY AMPLIFIED RESISTS ARE BEST FOR LOGIC CONTACT
ure 4 for LER and LCDU, respectively. HOLES, MOST LIKELY, CAR RESISTS WILL BE USED.

Stochastics and Defects


In the extreme case, these stochastic of defect will start to appear. The “killing” defects) become smaller with
effects can lead to defects. goal is to shift the two cliffs further each technology node.
1) For lines and spaces, defects can to the outside so that the low-defect This defect reduction requirement
be bridges between lines or line area increases (Figure 5). These cliffs brings inspection metrology to a dual
breaks. can be shifted apart by better EUV requirement: speed (for yield predic-
2) For contact holes and pillars, miss- materials that exhibit lower stochastic tion over a full wafer) and resolution
ing holes/pillars or merging holes/ effects. Also, imaging improvements (Figure 6). E-beam-based systems are
pillars are stochastic effects. like dual monopole exposures have known to have an extremely good reso-
Typically, the number of defects proven to shift the cliffs. lution, but optical inspection systems are
depends on the targeted dimension. generally faster. The table in Figure 6(b)
This leads to two defect cliffs (one Defect Inspection shows the typical working regimes of
for bridges and one for breaks) with- This defect reduction immediately both types of inspection systems.
in a potential area of low defectiv- brings up another challenge: inspec- In summary, the stochastics in EUV
ity. If you exceed that area, one type tion metrology. The number of defects lithography development change the
that can be found in the low-defect traditional triangle of simultaneously
area depends on the amount of surface optimizing three parameters (sensitivity
Small that is inspected. To have a yielding versus resolution versus roughness) to
(<0.5 nm) logic chip, the ­m inimum area to a pyramid, where failures are added
MOR inspect is the area of the chip. But (Figure 7). Optimizing four param-
obviously, the industry wants a high eters simultaneously is obviously even
yield over a complete wafer containing more challenging.
FIGURE 3. The structure of a metal-oxide hundreds of chips. Moreover, the Once these four parameters were
resist (MOR). defects that we care about (so-called sufficiently optimized, EUV could be

P36
P40 P60 P80

P60
5 6
P90
PTD-CAR Contact CD
5.5
Trench CD = Contacts 21 nm
4.5 22 nm
5
3σ LCDU (nm)

18 nm
LER (nm)

23 nm
4.5 24 nm
4
19 nm
4
20 nm
3.5 3.5

3 Dose = 46 mJ/cm2
3
30 60 90 120 150 180 40 50 60 70 80 90 100
Pitch (nm) Pitch (nm)
(a) (b)

FIGURE 4. (a) The LER of lines and spaces scaling to smaller dimensions. (b) The LCDU of contact holes and pillars scaling to smaller
dimensions.

IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024 37


inserted in HVM. That happened in the Note also that the dimensions to be search for better materials that show
logic foundries in 2019–2020 for the printed do not reflect the node nam- lower stochastics. Although high-NA
7-nm logic technology node. But scal- ing. Going beyond 7 nm, an increas- EUV will have a higher image contrast,
ing does not stop at 7 nm. ing number of critical layers start to the stochastics are unlikely to disappear.
require EUV multipatterning, and this
Logic Scaling Road Map motivates the development of high- Fundamental Understanding
Figure 8 gives an idea of the logic tech- NA EUV. of Stochastics
nology road map and the transition Clearly, the reduction of stochastics One important aspect of stochastics is
from 193-nm immersion with multiple needs to continue as further scaling to better understand the root causes that
patterning to 0.33-NA EUV. As you can increases the stochastic effects while the create pattern failures. For that, many
see, the first introduction of 0.33-NA specifications of roughness and defec- different analysis techniques are being
EUV was limited to a few layers in the tivity become tighter. Many companies developed. imec invested in an AttoLab
back end of line, and single patterning and institutes continue to investigate the platform, combining many analysis
with EUV was enabled. fundamentals of the stochastics and to techniques in one tool. It is a scientific
framework for establishing ultrafast
molecular dynamic research. Figure 9
Bridge Break gives an overview of the AttoLab
[5], [6].
It is equipped with multiple light
sources [from infrared (IR) to EUV]. It
has the capabilities to measure the actin-
iff
Br

Defects/µm2
Cl

ic dynamic absorption and actinic reflec-


idg
Defect Count

ks
es

ea

tance of materials. It has the possibility


Br
Cl

to do coherent diffractive imaging (CDI).


iff

Defects/mm2 It contains a dedicated photoemission


spectroscopy pump-probe beamline.
This enables EUV exposure followed by/
Defects/cm2 combined with laser-based actinic pho-
(a) (b)
CD toemission for EUV resist and material
characterization. This is especially valu-
FIGURE 5. (a) The LER of lines and spaces scaling to smaller dimensions. (b) The LCDU able for the EUV material suppliers to
of contact holes and pillars scaling to smaller dimensions. better understand how the EUV photons

Assume 40-nm NOK 1 ppm 1 ppb < ~1E-12 (~Yield)


Pitch Contacts →
Defects/cm2 6E04 62 < 0.06

CD-SEM and Stochalis


High Resolution, Low Current Fast E-Beam Inspection
Lower Resolution, Higher Current Optical Defect Inspection
(Broadband Plasma)

Inspectable Area < ~1,000 µm2 > 1 mm2 Full Wafer


Resolution High: Failure Details Can • Medium in Inspection Mode • Low
Clearly be Seen • Failure Details Can Clearly be • Failure Details With e-Beam
Seen in High-Resolution Mode Review
Applicability Post-Litho and Post Etch Primarily Post Etch Primarily Post Etch
Sensitivity High Good/High • Shown to be Inline With CD-SEM
Results for Pitch 40-nm L/S
• To be Better Quantified for the
Smallest Pitches and/or Dimensions

FIGURE 6. The defect inspection challenges: yield versus resolution.

38 IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024


create first primary and then secondary \\\\
electrons upon EUV exposure and how
the materials react. MANY COMPANIES AND INSTITUTES CONTINUE TO INVESTIGATE
THE FUNDAMENTALS OF THE STOCHASTICS AND TO SEARCH
EUV Masks FOR BETTER MATERIALS THAT SHOW LOWER STOCHASTICS.

EUV Mask Configuration


and Mask 3D Effects ■■ exposure latitude reduction (contrast preferably, a co-optimization of all the
EUV masks are, in contrast to optical fading) aforementioned. We will elaborate on
masks or photomasks, reflective (Fig- ■■ pattern shift through focus the search for new absorber materials
ure 10). As a result, the EUV mask can ■■ 2-bar asymmetry through focus. [5] and how they contribute to the mask
be seen as part of the optical train and 3D effect reduction on the wafer.
needs to be made very accurate to not Mitigation of Mask 3D Effects The standard EUV mask absorb-
deform the projected image on the Several knobs exist to mitigate these ers are mostly Ta-based. The choice
wafer. Due to the fact that the EUV mask 3D effects at the wafer level: opti- for Ta-based absorbers does not take
wavelength (13.5 nm) is so short, every mization of the illumination source the imaging performance into account.
detail on the mask has an effect. In par- shape, optimization of the mask design, The selection was mostly done based
ticular, the fact that the mask is not infi- optimization of the mask stack, and on mask manufacturability aspects
nitely thin but has a third dimension in
combination with the oblique incidence
of the EUV light on the mask results in Failures
intensity and phase changes between Sensitivity
the various diffraction orders, causing Resolution
Sensitivity
significant (unwanted) imaging effects
on the wafer. Roughness Resolution
The effects of mask 3D phenom- Roughness
ena that can be found based on wafer
metrology are FIGURE 7. From resolution, line edge roughness, sensitivity (RLS) optimization to
■■ best focus variations through pitch resolution, line edge roughness, sensitivity and failures (RLSF) optimization.

Logic 2016 2017 2018 2019 2020 2021 2022 2023 2024 2025

Industry NI0 N7/N7+ N5 N3 N2


Fin < 35 Fin ≤ 30 Fin < 30 Fin ~20-Nano Sheet ~42 Fin < 20-NS < 40
Pitch Gate < 70 Gate < 60 Gate < 50 Gate ~45 Gate ~40
M0A < 70 M0A < 60 M0A < 60 M0A ~45 M0A ~40
Metal~44–48 Metal~40 Metal~30/M2~32 Mint~21/M2~28 Mint/M2~18
Via C2C110 Via C2C56 Via C2C~42 Via C2C~30 Via C2C < 30

Active I 93i SAQP + > 3 I 93i Cuts I 93i SAQP + 2 EUV Cuts (FinFET)
EUV SPT (Nanosheet)

Gate I 93i SADP + I 93i MPT Cuts I 93i SADP + EUV Cuts
EUV SPT (Exploration)

M0A I 93i MPT + I 93i Cuts EUV SPT EUV SPT + EUV Cuts

EUV
1×Metal I 93i MPT + I 93i Cuts
SPT
EUV DPT (SADP OR SALELE)

I 93i MPT + I 93i Cuts


2×Metal EUV SPT EUV DPT

Via I 93i MPT EUV SPT EUV DPT

Immersion-Based Immersion + EUV EUV Based


Patterning Multiple Patterning Patterning

FIGURE 8. A logic scaling road map showing the transition from 193-nm immersion with multiple patterning to 0.33 NA for the most
critical layers (0.55 NA is not on the chart and is expected to start in 2025–2026). SPT: single patterning technology; DPT: double pat-
terning technology; MPT: multiple patterning technology; M0A: local Interconnect layer; C2C: center to center; NS: nanosheet; SAQP:
self aligned quadruple patterning; SADP: self aligned double patterning; SALELE: self aligned litho etch litho etch.

IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024 39


Table-Top Actinic Radiometry
Ultrafast Mid-IR Source
EUV Sources Absorption/Transmission Spectroscopy,
Static/Dynamic Absorption Coefficients,
300-mm EUV Sensitivity
Interference Litho CDI Actinic Reflectometry
Optical and Structural Properties of
Materials and Interfaces,
Reflector/Masks Efficiencies
(tr)-IR Spectroscopy
In-Situ Chemical Dynamics During
High-Power fs EUV Image Formation in Resists,
Seed Laser Transient Chemical Species/Byproducts
Spectroscopy (tr)-Photoemission
End Station EUV Photoemission Spectra,
Kinetic Energy of Primary/Secondary
EUV e–, 2D and Topological Materials
Photoemission
Reflectometry
(UPS, XPS, Auger, LEED, RGA) Interference Litho

EUV Litho With Tunable Pitch,


Supporting 0.33-High-Hyper NA Imaging

FIGURE 9. The imec AttoLab. CDI: coherent diffractive imaging; UPS: ultraviolet photoemission spectroscopy; XPS: X-ray photoemis-
sion spectroscopy; LEED: low-energy electron diffraction; RGA: residual gas analysis.

(patterning, defectivity, etc.). Many other Rigorous computer simulations can Low-n Masks
materials could be considered, all with easily predict the imaging properties Low-n materials [7], [8] lead to EUV
benefits but also challenges. Figure 11 of these materials. From these simu- attenuated phase shift masks, improv-
shows the refractive index (n) and lations, three classes are identified ing the contrast of the printed patterns
absorption coefficients (k) (at 13.5 nm) (Figure 12). on the wafer (Figure 13). They also
of many materials. Ta-based absorber ■■ low-n materials offer the possibility of reducing the
materials can be found in the middle of ■■ high-k materials EUV exposure dose by selecting the
the graph. ■■ n matching to vacuum materials. right mask bias. A lower dose means a
higher throughput, which has a direct
impact on the EUV lithography cost.
Typically, the mask bias can be tuned to
the lowest LCDU or to the lowest dose,
as illustrated for the hexagonal contact
holes in Figure 14.
Absorber Despite the advantages of low-n
Cr CAP masks, there are also some limita-
ML
PS Film tions that delay the introduction of
these masks in generic designs [9].
One of the problems is that the contrast
improvement works only for a certain
Substrate Substrate pitch range. At larger pitches, large
best-focus shifts are observed com-
pared to the standard Ta-based masks
(Figure 15). This can partly be com-
BS Coating
pensated for by mask CD retargeting or
EAPSM Optical Binary EUV inserting subresolution assist features
(a) (b) (SRAFs) but makes the data handling
more complex.
FIGURE 10. (a) Embedded optical mask cross-section with phase shift (PS) film: trans- The mask tonality (dark field ver-
missive. (b) EUV mask cross-section with multilayer (ML) and back side (BS) coating: sus bright field) also plays a role here.
reflective. Bright-field low-n masks suffer less

40 IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024


from best-focus shifts than the dark- etch potential with RIE if a suitable the requirements. But so far, no reli-
field low-n masks. On the other hand, HM material is found. Several groups able high-k mask exists that can be
the contrast improvements are less are currently working on finding the exposed on a commercial full-field
pronounced. right high-k material that meets all EUV scanner.
The strong point of bright-field low-n
masks is the smaller tip-to-tip (T2T)
printability for typical metal line-space 0.1
designs. This significantly relaxes the
mask rule check (MRC), as shown in

Extinction Coefficient (k)


0.08 Ni
Figure 16. T2T dimensions are impor- PtTe Te
Co
tant to avoid contact between two sepa- Ni3AI
0.06 Pt
rate metal lines but should be kept as
small as possible to enable scaling of the Pt2Mo
0.04 TaBN TaTeN
complete chip. Pt2Mo
Ru3Re Ta
0.02 RuTa RuTa3
High-k Masks Ru3Ta
While low-n absorbers remain quite Ru Mo
0
thick, high-k mask absorbers [10] can 0.88 0.91 0.94 0.97 1
result in thinner absorber layers due to Refraction Index (n)
their higher EUV absorption. This can
lead to a smaller mask-3D effect, such FIGURE 11. The n and k (at 13.5 nm) for multiple potential mask absorber materials.
as a focus shift through pitch, as illus-
trated in Figure 17. Compared to
low-n masks, the contrast improve-
0.1
ments are limited, and also, the dose High k
reduction is limited. However, many
Extinction Coefficient (k)

0.08 Ni
criteria need to be met to have a good PtTe Te
Co
mask absorber [10].
0.06 Pt Ni3AI
One of the biggest hurdles to intro-
Low n
ducing high-k absorbers is the ability Pt2Mo
0.04 TaBN TaTeN
to pattern these materials. Also, mask Pt2Mo n Matching
repair is difficult for the same reason. Ru3Re Ta to Vacuum
0.02 RuTa3
Ion beam etch techniques can etch the RuTa
Ru3Ta
material but are not selective to the Ru Ru
0 Mo
capping layer. Mask shops typically 0.88 0.91 0.94 0.97 1
prefer to use reactive ion etch, which Refraction Index (n)
they are more used to. The study in
[10] shows that TaCo may have some FIGURE 12. Three classes of absorber materials, with reference to Ta-based absorber.

HOR: I 6/32 nm Line/Spaces I 2P28 Square Contacts


3.5
2
3

2.5 1.5
NILS

NILS

2 NA 0.55 NA0.55
TaBN TaBN
1
RuTa RuTaO
1.5 Pt2Mo Pt2Mo
PtMo PtMo
0.5
1
20 30 40 50 60 70 80 90 100 20 30 40 50 60 70 80 90 100
Thickness (nm) Thickness (nm)

FIGURE 13. Simulated low-n mask (RuTa, Pt2Mo, and PtMo) improvements in contrast (NILS) compared to a Ta-based mask.

IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024 41


5

Lowest LCDU
4.5 3×5
TaBN
4 Low-n
LCDU (nm)

0×0
4×4
3.5 3×3
2×2 1×1
3 6×6 5×5
2×2
4×4
2.5 3×3
Lowest Dose
2
30 35 40 45 50 55 60 65 70 75 80
Dose (mJ/cm2)

FIGURE 14. Esperimentally verified low-n mask EUV dose reduction compared to a Ta-based absorber.

50 40
30
Best Focus Shift (nm)

10 20
Best Focus* (nm)

–10
0
–30
–50 Single Second
–20
–70 SRAF SRAF
–90 –40
–110 –60
–130
–150 –80 86 nm 68 nm 17 nm
20 30 40 50 60 70 80 90 100 28 40 56 84 112
Pitch (nm) Pitch (nm) Best Focus Range
LS Target CD 14 nm *F at Max NILS

Low-n DF Low-n BF No SRAF 1 SRAF 9 nm P56+ 2 SRAF 9 nm for P84/P112


(a) (b)

FIGURE 15. (a) Best-focus shifts at larger pitches for dark-field (DF) and bright-field (BF) low-n masks. (b) The reduction of the
best-focus shift by inserting subresolution assist feature (SRAFs).

Masks With n Close to One


Mask absorber materials with a refrac-
Wafer CD Versus Mask Length tive index matching to vacuum (n = 1)
CD for T2T With Hammerhead T2T CD
45 have the advantage of reducing pattern
40 Width shifts through focus, also referred to as
telecentricity errors (TCEs). The image
Wafer T2T CD (nm)

35 Low-n BF T2T 20 nm
30 contrast is virtually identical. Lower
25 TCEs lead to lower two-bar CD differ-
20 Target CD 20 nm+/–10% ences. This type of absorber material is
15 not widely investigated (Figure 18).
10 The industry is currently not aligned
5 on the best alternative absorber materi-
MRC Limit
0 als to replace the Ta-based reference
10 15 20 25 30 35
Mask T2T CD (nm)
absorber. Depending on the priorities of
the companies, low-n or high-k absorb-
Low-n DF Low-n BF ers get more focus, but given the advan-
Binary DF Binary BF tages and drawbacks of each type, it is
a difficult choice to make. For high-NA
FIGURE 16. Tip-to-tip (T2T) reduction for lines and spaces (LS). relaxing the MRC of initial insertion, it looks like Ta-based
mask shops. Rel.: relative. absorber masks will at least initially

42 IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024


remain the most used absorber. With etch processes. Achieving this for Ta- from the mask absorber surface so that
further scaling, alternative absorbers based masks was a challenging exercise, small particles that fall toward the pat-
may become critical to meet the imag- so it can be expected that this will also terned mask surface are held out of
ing performance. As such, it remains not be in place in the very near future focus and will not be transferred to the
important to look for the most appro- for any novel absorber. wafer image (Figure 19). In that way,
priate absorber material for a certain catastrophic yield loss can be avoided.
application. Once the new materials are EUV Pellicles Identifying a good pellicle mate-
selected, the blank vendors will need In general, the industry uses pellicles on rial for EUV was a challenge. Almost
to get defectivity at an acceptable level, production masks. Pellicles are thin all materials absorb the 13.5-nm EUV
and the mask shops will need to develop films that are mounted a few millimeters light strongly, so the pellicle membrane
for EUV must be very thin. Most trans-
parent membranes are not mechanically
HOR 16 nm LS Through Pitch robust when thin enough to transmit
60 more than 90% of the incident EUV
50 radiation, the current minimum require-
40 ment. Additionally, EUV absorption
30 Best Focus
Range (nm) results in very high temperatures, which
20 many pellicle material candidates can-
NILS Rel. to
10 TaBN at P32 (%) not withstand. Moreover, since the EUV
0 light must pass the pellicle twice, a lot of
–10 power is lost, causing throughput reduc-
–20 tion and placing even more emphasis on
32 nm 32 nm 31.5 nm 38 nm 60 nm
Ni Ni3Al NiAl NiAl3 TaBN the transmission requirement. The pel-
licle material has several requirements,
FIGURE 17. The simulated best-focus range through pitch for various high-k materials as depicted in Figure 20.
compared to Ta-based reference. Initially, poly-Si pellicles were
introduced for lower power EUV scan-
ners (100–200-W EUV power). Today
3 30 more transparent composite materials
Horizontal LS Horizontal LS
TaBN TaBN are used (25–350-W EUV power). For
2.5
TaTen TaTen
TCE (mRad)

20 the future, when EUV powers of 600 W


and more are expected, carbon nano-
NILS

2
tube pellicles (CNTs) have proven to be
10
1.5 a good candidate for EUV powers of
600 W and above [11], [12], [13]. CNT
1 0 pellicles are meeting the imaging and
20 40 60 80 100 20 40 60 80 100
Thickness (nm) Thickness (nm) handling requirements imposed by the
scanner, and optimizations are ongo-
Through Focus ing to demonstrate a pellicle lifetime of
4 10,000 300-mm wafer exposures.
y = 0.017x + 1.851
Lower-Upper Trench CD (nm)

3
Ta-Based
Mask
2
Pellicle

Low-n
0
y = 0.055x + 1.7401
–1
–50
–40
–30
–20
–10
0
10
20
30
40
50

Mask With Pellicle


Defocus wrt BF (nm)

FIGURE 19. A pellicle mounted over the


FIGURE 18. The simulated contrast (NILS) and telecentricity for TaTeN versus Ta-based absorber pattern to keep small particles
absorbers. As can be seen, low-n masks increase the telecentricity error compared to Ta. out of focus.

IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024 43


Optical
EUV Transmission
Mechanical
Minimal Deflection,
Strong (High
Chemical Young’s Modulus)
H+ Durability
Lifetime

10 nm
Thermal
Emissivity and CTE
Compatible With Exposure
(a) (b)

FIGURE 20. (a) The most important pellicle material requirements: transmission for throughput; mechanical strength to span a
complete 6-in mask; thermal resistance to withstand high EUV power; and chemicals to withstand the hydrogen radicals in the scanner.
(b) Full-size CNT pellicles have been fabricated with above 95% transparency for EUV light.

References Mater., Metrol., vol. 20, no. 2, May 2021, Art.


Summary [1] J. van Schoot, “Exposure tool development no. 021002, doi: 10.1117/1.JMM.20.2.021002.
This article describes the challenges for toward advanced EUV lithography: A journey [8] D. Xu, W. Gillijns, L. E. Tan, V. Philipsen,
developing advanced EUV lithography of 40 years driving Moore’s law,” IEEE and R.-H. Kim, “Exploration of alternative
Electron Devices Mag., vol. 1, no. 3, pp. 8–22, mask for 0.33 NA extreme ultraviolet single
in terms of patterning infrastructure gaps
Dec. 2023, doi: 10.1109/MED.2023.3337129. patterning at pitch 28-nm metal design,” J.
and the work that is happening to close Micro/ Nanopatterning, Mater., Metrol.,
[2] W. Kaiser, “The evolvement of lithography
these. EUV materials and masks need optics towards advanced EUV lithography: vol. 21, no. 2, Apr. 2022, Art. no. 024401, doi:
further improvements as well as metrol- Enabling the continuation of Moore’s law for 10.1117/1.JMM.21.2.024401.
ogy and inspection to be ready when the six decades,” IEEE Electron Devices Mag., [9] T. Kovalevich, L. Van Look, J.-H. Franke,
most advanced NA scanners (including vol 1, no, 3, pp. 23–34, Dec. 2023, doi: and V. Philipsen, “Evaluation of Lines and
10.1109/MED.2023.3343627. Spaces printing and general understanding of
high NA) are ready at the advanced chip
[3] B. Peter De, “Stochastic printing failures in imaging with dark field low-n mask,” J. Micro/
companies to go into HVM. Nanopatterning, Mater., Metrol., vol. 22,
extreme ultraviolet lithography,” J. Micro/
Nanolithogr., MEMS, MOEMS, vol. 17, no. 4, no. 2, Apr. 2023, Art. no. 024401, doi: 10.1117/
Acknowledgment Sep. 2018, Art. no. 041011, doi: 10.1117/1. 1.JMM.22.2.024401.
This article contains the work during JMM.17.4.041011. [10] D. Thakare, A. Delabie, and V. Philipsen,
several years of the imec patterning [4] B. Peter De, “Stochastic effects in EUV “Optimizing extreme ultraviolet lithography
teams, striving to continue the dimen- lithography: Random, local CD variability, and imaging metrics as a function of absorber thick-
printing failures,” J. Micro/Nanolithogr., ness and illumination source: A simulation case
sional scaling in advanced logic and
MEMS, MOEMS, vol. 16, no. 4, Dec. 2017, Art. study of Ta-Co alloy,” J. Micro/Nanopatterning,
DRAM designs. All the patterning team Mater., Metrol., vol. 22, no. 3, Aug. 2023, Art.
no. 041013, doi: 10.1117/1.JMM.16.4.041013.
members are acknowledged for their no. 033201, doi: 10.1117/1.JMM.22.3.033201.
[5] L. Galleni et al., “A scientific framework for
dedication and effort. establishing ultrafast molecular dynamic research [11] J. U. Lee et al., “Introducing the EUV CNT
in imec’s AttoLab,” in Proc. Adv. Patterning pellicle,” in Proc. Photomask Technol., 2016,
Biography Mater. Processes XL, 2023, vol. 12498, pp. 147– vol. 9985, pp. 26–33, doi: 10.1117/12.2243019.
Kurt Ronse (kurt. 155, doi: 10.1117/12.2660047. [12] J. Bekaert et al., “CNT pellicles: Recent opti-
ronse@imec.be) is [6] K. M. Dorney et al., “Actinic inspection of mization and exposure results,” in Proc. Opt.
the EUV optical parameters of lithographic EUV Nanolithogr. XXXVI, 2023, vol. 12494,
the director of the
materials with lab-based radiometry and reflec- pp. 99–107, doi: 10.1117/12.2660595.
Advanced Patterning
tometry,” in Proc. Opt. EUV Nanolithogr. [13] M. van de Kerkhof et al., “EUV pellicle
Process Program at XXXVI, 2023, vol. 12494, pp. 43–52, doi: scanner integration for N2 nodes and beyond,”
imec, 3001 Leuven, 10.1117/12.2658359. in Proc. Opt. EUV Nanolithogr. XXXVI, 2023,
Belgium. He is a fel- [7] M. Wu et al., “Study of novel EUVL mask vol. 12494, p. 90, doi: 10.1117/12.2658353.
low of SPIE and MNE. absorber candidates,” J. Micro/Nanopatterning, 

44 IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024


The IEEE Electron Devices Society continues to be a vibrant society with its members earning
accolades in the global technical community. We believe that many members of our society are
involved in activities, technical and otherwise, that deserve to be recognized. We, therefore,
encourage you to take the time to nominate fellow members for our annual awards. It is in this vein
that we would like to draw your attention to the awards program. Our highly prestigious awards
draw nominations from all over the world.

AWARDS
 J.J. Ebers Award
 Robert Bosch Micro and Nano
Electro Mechanical Systems Award
 Education Award
 Distinguished Service Award
 Lester F. Eastman Award
 George E. Smith Award
 Paul Rappaport Award
 Leo Esaki Award
 William R. Cherry Award
 Early Career Award

For more information


https://eds.ieee.org/awards

Digital Object Identifier 10.1109/MED.2024.3372673


\\ EDUCATOR’S DESK
By John D. Cressler
Education Columnist

What It Takes to Be a Great Mentor

Give me a place to stand, and I


will move the earth.
—Archimedes

S
ome thoughts on what it takes to
be a great mentor.
Okay, let me reset the stage.
I earlier told you that you will require
two essential skills for long-term career
success—skills that, sadly, no class will
ever teach you. These are skills they
never tell you about. Skills hidden from
your view. Curious as to what they are?
1) You must be able to teach (I waxed
poetic on this topic in the September
2023 issue), and 2) you must be able to
mentor. And you will need to be able to
do them both very well. Very, very well.
So, here goes: the essentials of effec-
tive mentoring (see Figure 1).
Go ahead, try these on for size. Read
through the list slowly. Savor each one. FIGURE 1. The essentials of effective mentoring.
Check their heft in your hand. Roll ‘em
around in your mouth a time or two. told, I would rather have a clutch of bright then on off weeks I do short one-on-one
Ponder them. Extract some meaning. team players than a single off-the-charts meetings to just chat and catch up. In
Disagree if you’d like. A few supple- genius any day of the week. Hands down. between, I keep my door cracked open
mentary comments are in order. Strange as it may sound, I like my stu- almost all of the time so that they know
I am speaking here mostly about dents to like each other, to enjoy working I am there if needed. My students know
mentoring an effective research team. together, to look forward to coming to I am busy, and I know they are busy, but
You know, a bunch of scruffy-looking, work. I want them all to share the team I am never so busy that I can’t spare a
twenty-something grad students, with a vision. Okay, some repetition from my minute to act as a sounding board or
few undergrads thrown in the mix for teaching mantra. Students are the be-all provide help. New students need this
fun. Still, I would argue that the rules of and end-all of the mentoring enterprise. more frequently than veterans, to be
this mentoring game are quite general Inspire them. Share your vision. Know sure, since part of a grad student’s job is
and widely applicable. Ye olde granite? who they are and what makes them tick. to evolve into an efficient independent
Well, I have always put the “team vibe” Show them that you care about their problem solver, and like all things this
at the top of my list. Informal, collabora- well-being. Let them know you and what must be learned. To be successful, stu-
tive; dare I say, family-like. A place of makes you tick: the things you value, dents must find the way to marshal the
comfort and security. your successes and failures, what you do requisite forces needed to solve the task
It takes good “soft skills” to function for fun. Trust me, they are interested. Be at hand, be it a complex technical prob-
seamlessly in such a team, and truth be friendly and approachable. Be nice, say lem, an experimental rat’s nest, or even a
“hi.” Contact time with your students is personnel issue. It is just part of the grad
very important, but don’t smother them. student MO. Your students work hard,
Digital Object Identifier 10.1109/MED.2024.3364540
Date of current version: 21 March 2024 I do biweekly full-team meetings, and and so should you. I try to never ask a

46 IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024 2832-7683/24©2024IEEE


student to do a job I would not be willing resisting the urge to step in and make it surely appreciate, bright minds that you
to do myself. right and instead allowing small “crash- are, there is a huge difference between
While it is a ton of work, I provide and-burns” can be remarkably instructive knowing the answer and being able to
yearly (candid) appraisals of my students and, in the end, quite life-giving for new effectively put the answer into action.
(more frequently if there are problems to students when they inevitably succeed. My advice? Practice, practice, prac-
be wrestled with). They need it, I need it. As they will. Eventually. Well, most of tice. Embrace every opportunity that
It helps ensure we are both on the same them. None of us is perfect after all. comes your way to teach and to mentor
page with respect to their performance. Finally, celebrate. Often and much. in whatever forms they may take. Look
At the same time, I have my students Cheer their accomplishments and raise for the small chances to do your thing.
do an anonymous appraisal of me. A them up for praise. Hold them high. Brag Seek them out. With some solid bedrock
healthy exercise and gut check for team about them. And always, always remem- beneath you and some on-the-job train-
health. You have to be optimistic when ber that you, as a leader, are only as ing, you will get there in no time. Be bold.
dealing with the grad student animal, good as the students who work for you. Be brave. Be optimistic. And hold on
strange creature that it is (remember, I Period. Good mentoring can be very tight! Life is good. Thanks for listening.
was once one, too!). Patience, patience, challenging, but in the end it is so very
patience. And be upbeat and optimis- life-giving. Few things in life are as rich- Acknowledgment
tic, especially when students are down ly rewarding as seeing a novice become This column owes a debt to the author’s
or despairing (“It’s been two years, and a master before your eyes as that per- ancient article [1], “On the Gentle Art
I have no lead-authored papers! Oh my, son passes through your life. Be joyful of Teaching and Mentoring,” IEEE
what’s to be done?”). I believe strongly in your mentoring and remind yourself Potentials, 2011.
in providing a safe harbor for students daily of that ageless wisdom known as
to fail. That is how we all learn after all. the Golden Rule. Embrace it and smile! Reference
[1] J. D. Cressler, “Reflections on the gentle art of
Get knocked down, stand back up, learn Whew! Well, there ya have it: the effective teaching and mentoring,” IEEE Potentials,
what you can from the experience, then complete Cressler Credo of Teach- vol. 30, no. 4, pp. 23–26, Jul./Aug. 2011, doi:
put it behind you and move on. I find that ing and Mentoring. Of course, as you 10.1109/MPOT.2011.941570. EDS

\\ WOMEN IN ELECTRON DEVICES (continued from page 6)


I was then invited to join a fledgling a wondrous time for device enthusiasts,
effort to investigate through silicon vias and for anyone who loves technology. I
(TSV) in 2007. I jumped into this with consider myself fortunate to still be in
both feet, excited both at the novelty and the thick of it.
the potential for its use. I was made the To any aspirant of this prestigious
technical leader of a small crew compris- award, I would say that passion along
ing engineers and technicians. Together with a stubborn refusal to give up are
we invented a unique integration struc- key. I would also say that for some of us,
ture high in the BEOL which broke the success and recognition may take longer
paradigm, and then crafted a fabrication but that does not mean it is any lower in
method to enable the first productized significance. So hang in there, stay the
copper TSV at IBM. We successfully course. Your particular road may have
passed the L1 Technology Qualification FIGURE 2. First woman to win the different bumps in it but you will get
in 2013, and our 3D TSV process was J.J. Ebers Award. over and around them!
productized as the 32-nm 3D logic con- I am proud and grateful to be recog-
troller die in the Micron© Hybrid Mem- good, it is often a mandate; 2) setbacks nized for my achievements by the J.J.
ory Cube© and made history for IBM. will happen but you cannot give up; and Ebers Award Committee (Figure 2). I
During these years, my husband and 3) always keep things in perspective. remember being asked once why I still
I tackled not just our two careers, but My current work is in the heterogeneous want to keep working when I have had
also our two children (who are now phy- integration for artificial intelligence a good career and my kids are settled.
sicians). For both of us there were long hardware architectures, finding the Because I love it! Is there any other
days, sleep-starved nights, pure exhaus- right physical solutions to get numer- reason? Here’s to semiconductor tech-
tion from the demands, and no extend- ous components (logic, memory, oth- nology: may it always continue to revo-
ed family to help. But there were also ers) working seamlessly for improved lutionize our world for the betterment
invaluable lessons: 1) patience is not just system performance. It continues to be of humanity.

IEEE ELECTRON DEVICES MAGAZINE \\\ MARCH 2024 47


Get Published in the
IEEE Open Journal on
Immersive Displays

Submit a paper today to the premier new


open access journal on immersive displays

In keeping with IEEE’s continued commitment to providing IEEE’s expert technical community’s continued commitment to
options supporting the needs of all authors, IEEE introduces the publishing the most highly-cited content. The editor-in-chief is
IEEE Open Journal on Immersive Displays. the distinguished Arokia Nathan, formerly the Professorial
We invite you to be among the first to have your article peer- Chair of Photonic Systems and Displays, University of
reviewed and published in the new journal. This is an exciting Cambridge, and currently a Bye Fellow and Graduate Tutor
opportunity for your research to benefit from the high visibility at Darwin College, Cambridge.
and interest the journal’s marketing launch will generate. Your The rapid peer-reviewed process targets a publication time
research will also be exposed to more than 5 million unique frame of 10 weeks for most accepted papers. This journal
monthly users of the IEEE Xplore Digital Library.
®
is fully open and compliant with funder mandates,

The IEEE Open Journal on Immersive Displays will draw on including Plan S.

Submit your paper today!


Submission to ScholarOne begins in the Fall of 2023 with launch of the new IEEE Open
Journal on Immersive Displays in IEEE Xplore expected in early 2024.

Learn more at:


https://eds.ieee.org/publications/open-journal-on-immersive-displays

Digital Object Identifier 10.1109/MED.2024.3372675


Your IEEE Technical Profile
All IEEE members are encouraged to update
their technical interest profiles in their accounts
whenever they join a new society or make
career changes. Even if you are a long time
IEEE member, log in to your IEEE account today
and check if all information is current and com-
plete so you don’t miss important subscriptions
and notices. https://www.ieee.org/membership/
benefits/index.html

Digital Object Identifier 10.1109/MED.2024.3377875

Be Wary of Email SCAMS Targeting IEEE Members

IEEE reminds all members to remain alert to the risk of fraudulent emails and to maintain continued vigilance
online. For more information visit this IEEE webpage, https://mga.ieee.org/news/21-action-items-deadlines/
245-cyber-alert-be-aware-and-protect-ieee-from-business-emails-scams

Your IEEE Technical Profile

All IEEE members are encouraged to update their technical interest profiles in their accounts whenever they join a
new society or make career changes. Log in to your IEEE account today and check if all information is current
and complete so you don’t miss important notices. https://www.ieee.org/membership/benefits/index.html

Digital Object Identifier 10.1109/MED.2024.3377877


Share Your
Preprint Research
with the World!

TechRxiv is a free preprint server for unpublished


research in electrical engineering, computer
science, and related technology. Powered by
IEEE, TechRxiv provides researchers across a
broad range of fields the opportunity to share
early results of their work ahead of formal
peer review and publication.

BENEFITS:
• Rapidly disseminate your research findings

• Gather feedback from fellow researchers

• Find potential collaborators in the


scientific community

• Establish the precedence of a discovery

• Document research results in advance


of publication

Upload your unpublished research today!

Follow @TechRxiv_org
Learn more techrxiv.org Powered by IEEE

You might also like