Download as txt, pdf, or txt
Download as txt, pdf, or txt
You are on page 1of 1

commands to open ui of questa in server

open terminal from folder where run.do is present


tcsh
source /home/tools/mentor/cshrc_mentor
vsim &

for server 15
+incdir+/home/tools/mentor/MENTOR_INSTALL/questa2022_2/questasim/verilog_src/uvm-
1.2/src
-sv_lib
/home/tools/mentor/MENTOR_INSTALL/questa2022_2/questasim/uvm-1.2/linux_x86_64/
uvm_dpi

for PC
cd {C:\Users\Aditi\Documents\Aditi\Work\Verification\Projects\USB_template\
verif\sim}

https://www.accellera.org/downloads/standards/uvm
download from previous releases uvm 1.2 class library code

vlog list.svh\
+incdir+C:/Users/Aditi/Documents/Aditi/Work/Work_vlsiguru/src\
above is path where you have saved src folder from accellera
+incdir+../../rtl\
+incdir+../sram\
+incdir+../top\
+incdir+../utmi\
+incdir+../wishbone
vsim top\
-novopt -suppress 12110 +UVM_VERBOSITY=UVM_HIGH\
+UVM_TESTNAME=reg_read_test\
-sv_lib C:/questasim64_10.7c/uvm-1.2/win64/uvm_dpi
add wave sim:top/wb_pif/*\
sim:top/utmi_pif/*\
sim:top/sram_pif/*
run -all

You might also like