Đáp Án TN

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 10

Machine Translated by Google

Exam review outline for Digital Electronics subject at Intermediate, College and University. first

Ho Chi Minh City University of Industry


Faculty of Electronic Technology
Department of Industrial Electronics

ELECTRONICS EXAMINATION OUTLINE NO


(MIDDLE SCHOOL, COLLEGE & UNIVERSITY)
Updated date: June 6, 2008
Number of sentences: 424

CHAPTER 1: COUNTING SYSTEM


1. The octal equivalent of the binary number 110100.11 is:
a. 64.6* b. 64.3 c. 34.6 d. 34.3
2. The decimal equivalent of the binary number 110100.11 is:
a. 64.6 b. 52.75* c. 34.3 d. 34.6
3. The hexadecimal equivalent of the binary number 110100.11 is:
a. 64.6 b. 64.3 c. 34.C* d. 34.3
4. The binary equivalent of the octal number 75.3 is:
a. 01110101.0011 b. 101111.011 c. 111101.110 d. 111101.011*
5. The decimal equivalent of the octal number 75.3 is:
a. 61,375* b. 61.75 c. 47,375 d. 47.75
6. The hexadecimal equivalent of the octal number 75.3 is:
a. 3D.3 b. 3D.6* c. CD.6 d. CD.3
7. The binary equivalent of the decimal number 25,375 is:
a. 10011.011 b. 10011.11 c. 11001.011* d. 11001.11
8. The octal equivalent of the decimal number 25.375 is:
a. 23.6 b. 23.3 c. 31.6 d. 31.3*
9. The hexadecimal equivalent of the decimal number 25.375 is:
a. 19.6* d. 13.C 10. The BCD8421 equivalent of
b. 19.C the decimal number 29.5 is:
c. 13.6

a. 11101.1 b. 00101001.0101* c. 101001.101 d. 00101001.101


11. The binary equivalent of hexadecimal number 37.E is:
a. 11111.111 b. 11111.0111 c. 110111.111* d. 110111.0111
12. The octal equivalent of the hexadecimal number 37.E is:
a. 77.7 b. 77.34 c. 67.34 d. 67.7*
13. The decimal equivalent of hexadecimal number 37.E is:
a. 55,875* b. 55.4375 c. 31,875 d. 31.4375
14. The decimal equivalent of the BCD number 00110010.0100 is:
a. 50.25 b. 32.4* c. 32.1 d. 62.2
15.The BCD code of the decimal number 251 is:
a. 10 0101 0001 b. 0100 0101 0001 c. 0010 0101 0001* d. 0010 0101 001
16.The code for more than 3 of the decimal number 47 is:
a. 110010* b. 100111 c. 1111010 d. 101111
17. The decimal equivalent of a binary number with code more than three 01100100 is:

Compiled by: Department of Industrial Electronics


Machine Translated by Google

Exam review outline for Digital Electronics subject at Intermediate, College and University. 2

a. sixty four b. 144 c. 100 d. 97*


18. The hexadecimal equivalent of the binary number with code more than three 01100100 is:
a. sixty four b. sixty one* c. 100 d. 97
19. The octal equivalent of the binary number with code more than three 01100101 is:
a.145 b. 142* c. 101 d. 98
20.The equivalent Gray code of number 110010 B is:
a. 111100 b. 101010 d. 101011* c. 101101
21.The equivalent Gray code of a binary number with a code of more than three 011001 is:
a. 010101 b. 010001 c. 011101* d. 010110
22. The 1's complement of the binary number 1010 is:
a. 0101* b. 1001 c. 1011 d. 0110
23. The 2's complement of the binary number 1010 is:
a. 0101 b. 0110* c. 1100 d. 1000
24. The decimal equivalent of the binary number 10000000 is:
a. 100 b. 102 c. 128* d. 127
25. The decimal equivalent of the binary number 1111 is:
a. 1111 b. 16 c. 65 d.15*
26. The decimal equivalent of the binary number 10000001 is:
a. 129* b. 128 c. 127 d. 126
27. The hexadecimal equivalent of the binary number 11111111 is:
a. FF* b. 128 c. 255 d. 377
28. The decimal equivalent of the octal number 36 is:
a. 30* b. 26 c. 44 d. 38
29. The decimal equivalent of the octal number 257 is:
a. 267 b. 247 c. 157 d. 175*
30. The decimal equivalent of the hexadecimal number 7FF is:
a. 71515 b. 2047* c. 3777 d. 7000
31. The binary equivalent of the hexadecimal number 7FF is:
a. 00111111111 b. 10000000000 c. 71515 d. 11111111111*
32. How many numbers can a 4-bit binary number represent?
a. 4 b. 8 c. 1111 d. 16*
33. How many numbers can an 8-bit binary number represent?
a. 256* b. 255 c. 11111111 d. 10000000
34. How many 2-digit numbers are there in the octal system?
a. 256 b. 100 c. sixty four* d. 63
35. How many 2-digit numbers are there in the hexadecimal system?
a. 256 * b. 100 c. sixty four d. 63
36. In the binary system, the symbol LSB has the following meaning:
a. Least significant bit* b. The most significant bit.
c. Most significant number d. Least significant number 37. In the binary system,
the symbol MSB has the following meaning:
a. Least significant bit b. Most significant bit.*
c. Most significant number d. Least significant number 38. A number in binary
is called:
a. Bit* b. Bytes c. Nipple d. Word

Compiled by: Department of Industrial Electronics


Machine Translated by Google

Exam review outline for Digital Electronics subject at Intermediate, College and University. 3

39. How many bits must be used in a binary number to represent the decimal number 500?
a. 500 b. 5 c. 9* d. ten
40. How many bits must be used in a binary number to represent the decimal number 1000?
a. 512 b. 5 c. 9 d. ten*
41. 1 Kbit equals how many bits?
a. 1000 b. 1024* c. 8000 d. 8192
42. 4 Kbit equals how many bits?
a. 4 b. 1000 c. 4000 d. 4096*
43. 4 Mbit equals how many bits?
a. 4 b. 4000000 c. 4194304* d. 16777216
44. 1 Kbyte equals how many bits?
a. 8000 b. 1024 c. 1000 d. 8192*
45. How many bytes are in 2 Kbytes?
a. 2000 b. 2048* c. 2 d. 1024
46. To represent the decimal number 999, how many fewer bits of the binary number are than the number of bits of the BCD number?
bits?
a. 9 b. 4 c. 2* d.3
47. Which of the following binary numbers is not a BCD number:
a. 1001 0011 b. 1011 0101* c. 0101 0111 d. 0011 1001
48. Two's complement of a binary number:
a. Is the binary number itself c. b. Complement 1 plus 1*
Convert bit 0 to 1 one to 0 of 1's complement number d. Complement of 1's complement

49. What is 11011B + 11101B?


a. 101000B b. 110110B c. 111000B* d. 111010B
50. How much is 110110 B - 11101 B?
a. 11001B* b. 10101B c. 11011B d. 10011B

Compiled by: Department of Industrial Electronics


Machine Translated by Google

Exam review outline for Digital Electronics subject at Intermediate, College and University. 4
CHAPTER 2: BOOLE ALGEBRA AND LOGIC GATE
51. For every element x in the set B =ÿ0,1ÿ, there exists a complementary element x such that:
a. x + x = 1* b. x + x = 0 c. x + x = x d. x + x = x
52.For every element x in the set B =ÿ0,1ÿ, there exists a complementary element x such that:
ax x =1 bx x = 0* cx x = x dx x = x
53.For every element x in the set B =ÿ0,1ÿ, there exist constants 0 and 1 such that:
a. x + 0 = 0 ; x.1 = 1 b. x + 0 = x ; x.1 = 1
c. x + 0 = x ; x.1 = x* d. x + 0 = 0 ; x.1 = x
54.For every element x in the set B =ÿ0,1ÿ, there exist constants 0 and 1 such that:
a. x + 1 = x ; x.0 = x b. x + 1 = 1 ; x.0 = x
c. x + 1 = x ; x.0 = 0 d. x + 1 = 1 ; x.0 = 0*
55.For every element x in the set B =ÿ0,1ÿ, we have:
a. x + x = x* b. x + x = 2x c. x + x = 0 d. x + x = 1
56.For every element x in the set B =ÿ0,1ÿ, we have:
axx = x 2 bxx = x* cxx = 0 dxx = 1
57.For every element X in the set B =ÿ0,1ÿ, we have:
a. X = 0 b. X = 1 c. X = X* d. X = X
58.For all elements x and y in the set B =ÿ0,1ÿ, we have:
= = *
a. x ÿ y x+y b. x ÿ y =x+y c. x ÿ y = xy d. x ÿ y xy
59.For all elements x and y in the set B =ÿ0,1ÿ, we have:
= * =
a. xy x+y b. xy = x+y c. xy = xy d. xy x.y

60.For all elements x, y and z in the set B =ÿ0,1ÿ, we have:


= *
a. x ÿ y ÿ z = xyz b. x ÿ y ÿ z x.y. z
=
c. x ÿ y ÿ z x+y+z d. x ÿ y ÿ z =x+y+z
61.For all elements x, y and z in the set B =ÿ0,1ÿ, we have:
=
a. xyz x .y.z b. xyz = xyz
= *
c. xyz x+y+z d. xyz =x+y+z
62.Given the logic circuit diagram as shown in Figure 2.1. The logic algebraic expression of output Y is:
a. Y = AB* b. Y = A+B c. Y = AB d. Y = A ÿ B

A
Y
B
FIGURE 2.1

63.Given the logic circuit diagram as shown in Figure 2.2. The algebraic expression of Y is:
a. Y = AB b. Y = A+B* c. Y = AB d. Y = A ÿ B

A
Y
B
FIGURE 2.2

64.Given the logic circuit diagram as shown in Figure 2.3. The algebraic expression of Y is:
a. Y = AB b. Y = A+B d. Y = A ÿ B c. Y = AB *

Compiled by: Department of Industrial Electronics


Machine Translated by Google

Exam review outline for Digital Electronics subject at Intermediate, College and University. 5

A
Y
B
FIGURE 2.3

65.Given the logic circuit diagram as shown in Figure 2.4. The algebraic expression of Y is:
a. Y = AB b. Y = A+B c. Y = AB d. Y = A ÿ B *

A
Y
B
FIGURE 2.4

66. Give the logic circuit diagram as shown in Figure 2.5. The algebraic expression of Y is:
a. Y = A. B + A .B* b. Y = AB + A .B c. Y = A + B d. Y = A ÿ B

A
Y
B
FIGURE 2.5

67.Given the logic circuit diagram as shown in Figure 2.6. The algebraic expression of Y is:
a. Y = A. B + A .B b. Y = AB + A .B * c. Y = A + B d. Y = A ÿ B

A
Y
B
FIGURE 2.6

68. Give the logic circuit diagram as shown in Figure 2.7. The algebraic
expression of Y is: a. Y = ABC* b. Y = A + B + C c. Y = ABC d. Y = A ÿ B ÿ C

A
B Y
C
FIGURE 2.7

69.Given the logic circuit diagram as shown in Figure 2.8. The algebraic expression of Y is:
a. Y = ABC b. Y = A + B + C c. Y = ABC * d. Y = A ÿ B ÿ C

A
B Y
C
FIGURE 2.8

70. Give the logic circuit diagram as shown in Figure 2.9. The algebraic expression of Y is:
a. Y = ABC b. Y = A + B + C* c. Y = ABC d. Y = A ÿ B ÿ C

A
B Y
C
FIGURE 2.9

71. Give the logic circuit diagram as shown in Figure 2.10. The algebraic expression of Y is:
a. Y = ABC b. Y = A + B + C c. Y = ABC d. Y = A ÿ B ÿ C *

Compiled by: Department of Industrial Electronics


Machine Translated by Google

Exam review outline for Digital Electronics subject at Intermediate, College and University. 6

A
B Y
C
FIGURE 2.10

72.Given the logic circuit diagram as shown in Figure 2.11. The algebraic expression of
a. Y = A Y is: b. Y = A * c. Y = A.A d. Y = A + A

A Y

FIGURE 2.11

73. Give the logic circuit diagram as shown in Figure 2.12. The algebraic expression of Y is:
a. Y = A b. Y = A.A c. Y = A * d. Y = A + A

A Y

FIGURE 2.12

74.Given the logic circuit diagram as shown in Figure 2.12a. The algebraic expression of Y is:
a. Y = ( B + A + I0)( B + A + I1)(B + A + I2)(B + A + I3) b. Y = BA I0 + B
AI1 + B A I2 + BAI3* c. Y = B A I3 + B A I2 + B A
I1 + BA I0 d. All is incorrect

I0

I1

Y
I2

I3

FIGURE 2.12a

FATHER

75.Given the logic circuit diagram as shown in Figure 2.13. The algebraic expression of Y is:
a. Y = AB* b. Y = A+B c. Y = AB d. Y = A ÿ B

A
Y
B
FIGURE 2.13

76.Given the logic circuit diagram as shown in Figure 2.13a. The algebraic expression of Y is:
aY = AB b. Y = A+B c. Y = AB * d. Y = A ÿ B

Compiled by: Department of Industrial Electronics


Machine Translated by Google

Exam review outline for Digital Electronics subject at Intermediate, College and University. 7

A
Y
B
FIGURE 2.13a

77.Given the logic circuit diagram as shown in Figure 2.13b. The algebraic expression of Y is:

A
Y
B
FIGURE 2.13b

aY = AB* b. Y = A+B c. Y = AB d. Y = A ÿ B
78. Give the logic circuit diagram as shown in Figure 2.13c. The algebraic expression of Y is:

A
Y
B
FIGURE 2.13c

aY = AB b. Y = A+B c. Y = AB d. Y = A ÿ B *
79.Given the logic circuit diagram as shown in Figure 2.13d. The algebraic expression of Y is:

A
Y
B
FIGURE 2.13d

aY = AB b. Y = A+B* c. Y = AB d. Y = A ÿ B
80. Give the logic circuit diagram as shown in Figure 2.14. The algebraic expression of Y is:
a. Y = AB* b. Y = A+B c. Y = AB d. Y = A ÿ B

A
Y
B
FIGURE 2.14

81. Give the logic circuit diagram as shown in Figure 2.15. The algebraic expression of Y is:
a. Y = AB b. Y = A+B* c. Y = AB d. Y = A ÿ B

A
Y
B
FIGURE 2.15

82. Give the logic circuit diagram as shown in Figure 2.16. The algebraic expression of Y is:
a. Y = AB b. Y = A+B* c. Y = AB d. Y = A ÿ B

A
Y
B
FIGURE 2.16

Compiled by: Department of Industrial Electronics


Machine Translated by Google

Exam review outline for Digital Electronics subject at Intermediate, College and University. 8

83. Give the logic circuit diagram as shown in Figure 2.17. The algebraic expression of Y is:
a. Y = ABC* b. Y = A+B+C c. Y = ABC d. Y = A ÿ B ÿ C

A
B Y
C
FIGURE 2.17

84.Given the logic circuit diagram as shown in Figure 2.18. The algebraic expression of Y is:
a. Y = ABC b. Y = A+B+C* c. Y = ABC d. Y = A ÿ B ÿ C

A
B Y
C
FIGURE 2.18

85. Give the logic circuit diagram as shown in Figure 2.19. The algebraic expression of Y is:
a. Y = ABCD* b. Y = A+B+C+D c. Y = AB + CD d. Y = (A+B)(C+D)
A
B
Y
C
FIGURE 2.19
D

86. Give the logic circuit diagram as shown in Figure 2.20. The algebraic expression of Y is:
a. Y = ABCD b. Y = A+B+C+D* c. Y = AB + CD d. Y = (A+B)(C+D)
A
B
Y
C
FIGURE 2.20
D

87. Give the logic circuit diagram as shown in Figure 2.21. The algebraic expression of Y is:
a. Y = ABCD b. Y = A+B+C+D c. Y = ABCD * d. Y = A ÿ B ÿ C ÿ D

A
B
Y
C
FIGURE 2.21
D

88. Give the logic circuit diagram as shown in Figure 2.22. The algebraic expression of Y is:
a. Y = ABCD b. Y = A+B+C+D c. Y = ABCD d. Y = A ÿ B ÿ C ÿ D *

Compiled by: Department of Industrial Electronics


Machine Translated by Google

Exam review outline for Digital Electronics subject at Intermediate, College and University. 9

A
B
Y
C
FIGURE 2.22
D

89.Let Z= AB ÿCD ÿ 0 , then the inverse function of Z is:

a. Z ÿ ÿAÿ Bÿ.ÿC ÿ Dÿ.1 b. Z ÿ ÿAÿ Bÿ.ÿC ÿ Dÿ.1


c. Z ÿ Aÿ BC ÿ D.1 * d. Z ÿ ÿAÿ Bÿ.ÿC ÿ Dÿ.0
90. Given Z= A.BC ÿCD , then the inverse function of Z is:
a. Z ÿ ÿAÿ B ÿCÿ.ÿC ÿ Dÿ b. Z ÿ ÿAÿ B ÿCÿ.ÿC ÿ Dÿ
c. Z ÿ Aÿ B ÿCC ÿ D d. Z ÿ ÿAÿ B ÿCÿ.ÿC ÿ Dÿ *
91.Let Z= Aÿ B ÿC ÿ D ÿ E then the inverse function of Z is:

a. Z ÿ ABCDE b. Z ÿ ABCDE

c Z ÿ ABCDE * d. Z ÿ ABCDE
92.Let Z= AC ÿ B ÿC ÿ DE then the inverse function of Z is:
a. Z ÿ AÿCBCD ÿ E b. Z ÿ ÿAÿCÿ.ÿBCÿD ÿ Eÿÿ

c Z ÿ AÿCBCÿD ÿ Eÿ d. Z ÿ ÿAÿCÿ.BCÿD ÿ Eÿ * 93.Let Z= Aÿ B ÿC ÿ


D ÿ E then the dual function of Z is:
a. Z' ÿ ABCDE b. Z' ÿ ABCDE c Z' ÿ ABCDE d. Z' ÿ AB.C.DE *
94.Given the logic circuit diagram as shown in Figure 2.23. If the input signal to A is a square pulse with a frequency of 1 Hz then
Output Y: a.
At high level b. At low level* c. There is a square pulse signal of frequency 1
Hz, in phase with the signal at A d. There is a square pulse signal of frequency 1 Hz,
opposite in phase to the signal at A

A
first
Y
0
FIGURE 2.23

95.Given the logic circuit diagram as shown in Figure 2.24. If the input signal to A is a square pulse with a frequency of 1 Hz then
Output Y: a.
At high level b. At low level c. There is a square pulse signal of frequency 1
Hz, in phase with the signal at A* d. There is a square pulse signal of frequency 1 Hz,
opposite in phase to the signal at A

A
first
Y
0
FIGURE 2.24

96. Give the logic circuit diagram as shown in Figure 2.25. If the input signal to A is a square pulse with a frequency of 1 Hz then
Y output:

Compiled by: Department of Industrial Electronics


Machine Translated by Google

Exam review outline for Digital Electronics subject at Intermediate, College and ten

University. a. At a high level* b. Stay low


c. There is a square pulse signal of frequency 1 Hz, in phase with the signal at A
d. There is a square pulse signal of frequency 1 Hz, opposite in phase to the signal at A

A
first
Y
0
FIGURE 2.25

97.Given the logic circuit diagram as shown in Figure 2.26. If the input signal to A is a square pulse with a frequency of 1 Hz then
Y output:
a. At high level b. Stay low
c. There is a square pulse signal of frequency 1 Hz, in phase with the signal at A
d. There is a 1 Hz square pulse signal, opposite in phase to the signal at A*

A
first
Y
0
FIGURE 2.26

98.Given the logic circuit diagram as shown in Figure 2.27. If the input signal to A is a square pulse with a frequency of 1 Hz then
Y output:
a. At high level b. Stay low
c. There is a 1 Hz square pulse signal, in phase with the signal at A*
d. There is a square pulse signal of frequency 1 Hz, opposite in phase to the signal at A

A
first
Y
0
FIGURE 2.27

99.Given the logic circuit diagram as shown in Figure 2.28. If the input signal to A is a square pulse with a frequency of 1 Hz then
Y output:
a. At a high level* b. Stay low
c. There is a square pulse signal of frequency 1 Hz, in phase with the signal at A
d. There is a square pulse signal of frequency 1 Hz, opposite in phase to the signal at A

A
first
Y
0
FIGURE 2.28

1 100. Given a logic circuit diagram as shown in Figure 2.29. If the input signal to A is a square pulse with frequency
Hz then output Y:
a. At high level b. Stay low
c. There is a square pulse signal of frequency 1 Hz, in phase with the signal at A
d. There is a 1 Hz square pulse signal, opposite in phase to the signal at A*

A
first
Y
0
FIGURE 2.29

1 101. Given a logic circuit diagram as shown in Figure 2.30. If the input signal to A is a square pulse with frequency
Hz then output Y:

Compiled by: Department of Industrial Electronics

You might also like