Download as pdf or txt
Download as pdf or txt
You are on page 1of 307

Tweaker™

Blocking Codes
Version T-2022.03, March 2022
Tweaker™ Blocking Codes Version T-2022.03

Copyright Notice and Proprietary Information


© 2021 Synopsys, Inc. All rights reserved. This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. and may only be used pursuant
to the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, or distribution of the Synopsys software or the associated
documentation is strictly prohibited.
Destination Control Statement
All technical data contained in this publication is subject to the export control laws of the United States of America. Disclosure to nationals of other countries contrary to
United States law is prohibited. It is the reader's responsibility to determine the applicable regulations and to comply with them.
Disclaimer
SYNOPSYS, INC., AND ITS LICENSORS MAKE NO WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, WITH REGARD TO THIS MATERIAL, INCLUDING, BUT
NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE.
Trademarks
Synopsys and certain Synopsys product names are trademarks of Synopsys, as set forth at
http://www.synopsys.com/company/legal/trademarks-brands.html.
All other product or company names may be trademarks of their respective owners.
Free and Open-Source Software Licensing Notices
If applicable, Free and Open-Source Software (FOSS) licensing notices are available in the product installation.
Third-Party Links
Any links to third-party websites included in this document are for your convenience only. Synopsys does not endorse and is not responsible for such websites and their
practices, including privacy practices, availability, and content.
www.synopsys.com

2
Tweaker™ Blocking Codes Version T-2022.03

Contents

B001 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9. . . . . . . . . . .
B002 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
...........
B003 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11
...........
B004 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12
...........
B005 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14
...........
B006 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15
...........
B007 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
...........
B008 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17
...........
B009 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18
...........
B010 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19
...........
B011 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20
...........
B012 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21
...........
B013 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22
...........
B014 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23
...........
B015 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25
...........
B016 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26
...........
B017 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27
...........
B018 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28
...........
B019 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29
...........
B020 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30
...........
B021 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31
...........
B022 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32
...........
B023 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33
...........
B024 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34
...........
B025 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36
...........
B026 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37
...........
B027 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38
...........
B028 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39
...........
B029 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40
...........
B030 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42
...........
B031 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43
...........
B032 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44
...........
B033 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46
...........
B034 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47
...........
B035 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48
...........
B036 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50
...........
B037 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51
...........
B038 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52
...........
B039 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53
...........
B040 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54
...........

Contents 3
Tweaker™ Blocking Codes Version T-2022.03

B041 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56
...........
B042 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57
...........
B043 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59
...........
B044 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60
...........
B045 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61
...........
B046 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62
...........
B047 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63
...........
B048 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64
...........
B049 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65
...........
B050 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66
...........
B051 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73
...........
B052 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75
...........
B053 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77
...........
B054 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79
...........
B055 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80
...........
B056 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82
...........
B057 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84
...........
B058 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85
...........
B059 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86
...........
B060 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88
...........
B061 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89
...........
B062 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90
...........
B063 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92
...........
B064 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 93
...........
B065 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94
...........
B066 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95
...........
B067 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97
...........
B068 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99
...........
B069 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100
...........
B070 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101
...........
B071 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102
...........
B072 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103
...........
B073 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104
...........
B074 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105
...........
B075 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106
...........
B076 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107
...........
B077 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109
...........
B078 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110
...........
B079 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111
...........
B080 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112
...........
B081 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113
...........
B082 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115
...........
B083 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117
...........
B084 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118
...........
B085 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119
...........

Contents 4
Tweaker™ Blocking Codes Version T-2022.03

B086 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120
...........
B087 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121
...........
B088 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122
...........
B089 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123
...........
B090 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124
...........
B091 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125
...........
B092 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126
...........
B093 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127
...........
B094 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 128
...........
B095 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129
...........
B096 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 130
...........
B097 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131
...........
B098 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 132
...........
B099 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133
...........
B100 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135
...........
B101 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 137
...........
B102 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 138
...........
B103 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 139
...........
B104 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 140
...........
B105 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141
...........
B106 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 142
...........
B107 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144
...........
B108 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 145
...........
B109 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 147
...........
B110 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 148
...........
B111 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 150
...........
B112 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151
...........
B113 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152
...........
B114 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153
...........
B115 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 154
...........
B116 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155
...........
B117 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156
...........
B118 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 157
...........
B119 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158
...........
B120 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159
...........
B121 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160
...........
B122 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 161
...........
B123 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 162
...........
B124 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 163
...........
B125 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 164
...........
B126 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 165
...........
B127 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 166
...........
B128 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 167
...........
B129 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 168
...........
B130 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 169
...........

Contents 5
Tweaker™ Blocking Codes Version T-2022.03

B131 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 170
...........
B132 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 172
...........
B133 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 173
...........
B134 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174
...........
B135 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 175
...........
B136 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 177
...........
B137 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 178
...........
B138 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 179
...........
B139 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 180
...........
B140 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 181
...........
B141 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 182
...........
B142 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183
...........
B143 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 184
...........
B144 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 185
...........
B145 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 187
...........
B146 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 188
...........
B147 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 189
...........
B148 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 190
...........
B149 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 191
...........
B150 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 192
...........
B151 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193
...........
B152 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 194
...........
B153 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 196
...........
B154 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 198
...........
B155 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 201
...........
B156 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203
...........
B157 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 204
...........
B158 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 206
...........
B159 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 207
...........
B160 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 209
...........
B161 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 210
...........
B162 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 212
...........
B163 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 214
...........
B164 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 216
...........
B165 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 217
...........
B166 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 218
...........
B167 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 220
...........
B168 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 221
...........
B169 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 222
...........
B170 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 223
...........
B171 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 224
...........
B172 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 225
...........
B173 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 227
...........
B174 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 228
...........
B175 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 229
...........

Contents 6
Tweaker™ Blocking Codes Version T-2022.03

B176 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 230
...........
B177 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 231
...........
B178 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 232
...........
B179 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 233
...........
B180 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 234
...........
B181 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 235
...........
B182 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 236
...........
B183 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 238
...........
B184 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 239
...........
B185 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 240
...........
B186 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 241
...........
B187 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 242
...........
B188 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 243
...........
B189 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 244
...........
B190 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 245
...........
B191 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 247
...........
B192 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 248
...........
B193 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 249
...........
B194 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 250
...........
B195 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 251
...........
B196 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 252
...........
B197 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 253
...........
B198 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 254
...........
B199 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 255
...........
B200 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 257
...........
B201 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 258
...........
B202 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 259
...........
B203 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 260
...........
B204 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 261
...........
B205 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 262
...........
B206 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 263
...........
B207 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 264
...........
B208 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 265
...........
B209 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 266
...........
B210 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 267
...........
B211 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 268
...........
B212 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 269
...........
B213 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 270
...........
B214 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 271
...........
B215 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 274
...........
B216 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 275
...........
B217 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 276
...........
B218 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 278
...........
B219 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 279
...........
B220 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 280
...........

Contents 7
Tweaker™ Blocking Codes Version T-2022.03

B221 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 281
...........
B222 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 282
...........
B223 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 283
...........
B224 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 284
...........
B225 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 286
...........
B226 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 287
...........
B227 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 289
...........
B228 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 290
...........
B229 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 291
...........
B230 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 292
...........
B231 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 293
...........
B232 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 294
...........
B233 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 295
...........
B234 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 296
...........
B235 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 297
...........
B236 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 298
...........
B237 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 299
...........
B241 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 300
...........
B242 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 301
...........
B247 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 302
...........
B248 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 303
...........
B249 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 304
...........
B251 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 305
...........
B252 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 306
...........
B253 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 307
...........

Contents 8
Tweaker™ Blocking Codes Version T-2022.03

B001
# Slack Improved

Description
Slack has been improved ( non blocking message ).

Next step
None

B001 9
Tweaker™ Blocking Codes Version T-2022.03

B002
# Blocked by Setup Path

Description
Tweaker will not perform the ECO operation if it will worsen the original

setup path.

Next step
Loosen the setup target slack by setting a smaller value to below variable:

set slk_setup_target_slk 0.05

B002 10
Tweaker™ Blocking Codes Version T-2022.03

B003
# Blocked by no setup margin (twf)

Description
During grouping algorithm, Tweaker will not perform the ECO grouping

if the setup margin is smaller than the given target.

Users are able to set the ECO grouping setup margin by using below

internal variable:

set _slk_hfi_setup_margin_threshold min_value max_value

(default: 0.15 0.45)

Next step
Loosen the grouping setup margin by setting a smaller value to below variable:

set _slk_hfi_setup_margin_threshold 0.10 0.40

B003 11
Tweaker™ Blocking Codes Version T-2022.03

B004
# Blocked by Timing Window (Setup)

Description
Tweaker will not perform the ECO operation on the instance if the setup margin

of instance's pin is smaller than the given setup target slack.

Next step
1. Loosen the setup target slack by setting a smaller value to below variable:

(a) DRV autofix / Setup autofix / Hold autofix:

set slk_setup_target_slk 0.05

(b) Leakage optimization (Power ECO):

set slk_setup_target_slk 0.05

set slk_power_eco_target_slk 0.05

2. Ignore the setup margin by using below variables:

(a) DRV autofix:

set slk_fix_drv_watch_setup_timing_window false

(b) Setup autofix:

set slk_fix_setup_watch_setup_timing_window false

(c) Hold autofix:

set slk_fix_hold_watch_timing_window false

B004 12
Tweaker™ Blocking Codes Version T-2022.03

(d) Leakage optimization (Power ECO):

set slk_power_eco_watch_peer_setup false

B004 13
Tweaker™ Blocking Codes Version T-2022.03

B005
# Blocked by Timing Window (Hold)

Description
Tweaker will not perform the ECO operation on the instance if the hold margin

of instance's pin is smaller than the given hold target slack.

Next step
1. Loosen the hold target slack by setting a smaller value to below variable:

set slk_hold_target_slk 0.01

2. Ignore the hold margin by using below variables: (Aggressive)

(a) Max-trans autofix:

set slk_fix_drv_watch_hold_timing_window false

(b) Setup autofix:

set slk_fix_setup_watch_hold_timing_window false

(c) Hold autofix:

set slk_fix_hold_watch_timing_window false

B005 14
Tweaker™ Blocking Codes Version T-2022.03

B006
# Blocked by Driving Timing Window (Setup)

Description
Tweaker will not perform the ECO operation on the instance if driver's other

peer doesn't have enough setup margin.

Next step
1. Loosen the setup target slack by setting a smaller value to below

variable:

set slk_setup_target_slk 0.01

2. Loosen the setup margin at peer side by setting a smaller value to below

variable:

set slk_hfi_peer_setup_margin 0.01

3. Disable the ability to watch other peers' setup margin by using below

variable:

set slk_fix_hold_watch_driving_pin_slack false

(small impact of timing on the peer side can happen, which can be fixed

incrementally)

B006 15
Tweaker™ Blocking Codes Version T-2022.03

B007
# Blocked by Driving Timing Window (Hold)

Description
Tweaker will not perform the ECO operation on the instance if its peer pin doesn't have

enough hold margin.

Next step
1. Loosen the hold target slack by setting a smaller value to below variable:

set slk_hold_target_slk 0.01

2. Group the peer sides which have lower hold margin by setting a larger value to below variable:

set slk_hfi_grouping_hold_margin 0.02

3. Specify an allowed impact value for peer side by setting below variable:

set slk_hfi_peer_hold_twf_impact 0.01

4. Disable the ability to watch other peers' hold margin by using below

variable: (Aggressive)

set slk_fix_hold_watch_driving_pin_slack false

B007 16
Tweaker™ Blocking Codes Version T-2022.03

B008
# Blocked by sizing buffer/inverter only

Description
Users are able to force Tweaker to touch only buffer and inverter cells by

using below variable:

set slk_auto_sizing_buf_inv_only true

When above variable is set to true, then when Tweaker tried to touch cells

other than buffer or inverter, Tweaker will not perform the ECO operation,

and will report this blocking message.

Next step
Please disable the ability to "size buffer and inverter only" by using

below variable:

set slk_auto_sizing_buf_inv_only false

B008 17
Tweaker™ Blocking Codes Version T-2022.03

B009
# Blocked by sizing comb-logic cell only

Description
By default, Tweaker will perform the sizing operation on combinational cells

only.

When Tweaker tried to size sequential cells, Tweaker will not perform the ECO

operation, and will report this blocking message.

Next step
Please disable the ability to size combinational cells only by using below

variable:

set slk_auto_sizing_comb_logic_cell_only false

B009 18
Tweaker™ Blocking Codes Version T-2022.03

B010
# Improved slack < min improved slack

Description
During autofix, if the slack improvement for each ECO operation is smaller

than the given minimum slack improvement.

Next step
Please set a smaller minimum slack improvement by using below variables:

(a) Sizing ECO:

set slk_auto_sizing_min_improved_slack 0.003

(b) By-pass buffer ECO:

set slk_auto_sizing_min_improved_slack 0.003

(c) Repeater insertion for setup autofix:

set slk_fix_setup_min_improved_slack_of_repeater_insertion 0.005

(d) Repeater insertion for max-trans autofix:

set slk_fix_trans_min_improved_slack_of_repeater_insertion 0.005

B010 19
Tweaker™ Blocking Codes Version T-2022.03

B011
# Primary IO

Description
This is an obsolete blocking code.

Next step
N/A

B011 20
Tweaker™ Blocking Codes Version T-2022.03

B012
# Blocked by sizing non-STD cell

Description
Tweaker cannot optimize the instance if it is not a standard cell.

Next step
Please check the LIB and LEF file of this instance.

B012 21
Tweaker™ Blocking Codes Version T-2022.03

B013
# Blocked by power domain mismatch

Description
Tweaker doesn't allow delay insertion on the net that is connected instance

with incorrect power domain definition due to different physical and logical

power domain information in Tweaker.

Users are able to define logical and/or physical power domain by using below

commands:

create_voltage_area < -name pd_name | -power_domain pd_name >

[ -polygon {{x1 y1} {x2 y2} ...} |

-coordinate {llx1 lly1 urx1 ury1 ...} ]

[ -instances instance_name_list ]

or

create_voltage_area < -name pd_name | -power_domain pd_name > -default

Next step
1. Please check power domain information in Tweaker by using below command:

check_power_domain_placement [-eco_cells_only] [-verbose] [-force_check]

2. Please also check physical power domain information in UPF/CPF file.

B013 22
Tweaker™ Blocking Codes Version T-2022.03

B014
# Blocked by power domain not defined

Description
When power domain is not defined correctly, Tweaker does not allow to insert cell on

the net which connects to power management cell (isolation/level shifter/power

switching).

Users are able to define logical and/or physical power domain by using below

command:

create_voltage_area < -name pd_name | -power_domain pd_name >

[ -polygon {{x1 y1} {x2 y2} ...} |

-coordinate {llx1 lly1 urx1 ury1 ...} ]

[ -instances instance_name_list ]

or

create_voltage_area < -name pd_name | -power_domain pd_name > -default

Next step
1. Please check power domain information in Tweaker by using below command:

check_power_domain_placement [-eco_cells_only] [-verbose] [-force_check]

2. Please also check physical power domain information in UPF/CPF file.

3. If power domain is not defined, use Tweaker command to create a default domain.

B014 23
Tweaker™ Blocking Codes Version T-2022.03

4. Check cell property of driver and sink cells if cell is power management cell.

Remove power management attribute (not recommended)

set_isolation_cell CELL_TYPE false

set_level_shifter_cell CELL_TYPE false

set_power_switch_cell CELL_TYPE false

B014 24
Tweaker™ Blocking Codes Version T-2022.03

B015
# Blocked by cross power domain not supported

Description
This is an obsolete blocking message.

Next step
N/A

B015 25
Tweaker™ Blocking Codes Version T-2022.03

B016
# Blocked by cross design

Description
During by-pass ECO operation, Tweaker will not allow by-passing the instance

that is directly connected to the design port, since it will force Tweaker

will not open a new design port.

Next step
Please check the design connection in its corresponding NETLIST and Tweaker

Schematic View.

B016 26
Tweaker™ Blocking Codes Version T-2022.03

B017
# Blocked by cross duplicated design

Description
During by-pass ECO operation, Tweaker will not allow by-passing the instance

that is directly connected to the design port, since it will force Tweaker

will not open a new design port.

Next step
Please check the design connection in its corresponding NETLIST and Tweaker

Schematic View.

B017 27
Tweaker™ Blocking Codes Version T-2022.03

B018
# Blocked by Tweaker doesn't touch cross bbox net

Description
In Tweaker black-box is the module without Netlist, DEF, and LIB file.

Since there's no timing information, hence Tweaker cannot perform any

ECO operation on the cross black-box net.

Next step
Disable the ability to not-touching black-box's net by using below variable:

set slk_fix_dont_touch_cross_bbox_net false

When disabling above variable, user are still able to set a lower fixing

priority to those black-box cell by using below variable:

set slk_fix_cross_bbox_net_with_low_priority true

When above variable is set to true, then Tweaker will put the highest

priority to fix on normal nets. For the unfixed violation, Tweaker will try

to touch those net that is crossing black-box net for further ECO operation.

B018 28
Tweaker™ Blocking Codes Version T-2022.03

B019
# Blocked by worst setup impact (minimize worst slack)

Description
During setup autofix, Tweaker will not allow the ECO operation

if the WNS of the setup violation is getting worse or not improved at all.

Users are able to targeting to reduce the path setup WNS by using below

variable:

set slk_fix_setup_minimize_worst_slack true

Next step
1. Please disable autofix by targeting to reduce the path setup WNS by using

below variable:

set slk_fix_setup_minimize_worst_slack false

2. Please increase setup target slack by giving a smaller value to below variable:

set slk_setup_target_slk 0.005

3. Disable the ability to watch setup margin by using below variable: (not recommended)

set slk_fix_setup_watch_setup_timing_window false

B019 29
Tweaker™ Blocking Codes Version T-2022.03

B020
# Blocked by worst setup impact

Description
During hold or clock autofix, Tweaker will not allow the ECO operation

if the WNS of the setup violation is getting worse.

Next step
1. Please increase setup target slack by giving a smaller value to below

variable:

set slk_setup_target_slk 0.005

2. Please disable the ability to watch setup and hold margin by using

below variable: (not recommended)

set slk_fix_hold_watch_timing_window false

B020 30
Tweaker™ Blocking Codes Version T-2022.03

B021
# Blocked by worst hold impact

Description
During setup or clock autofix, Tweaker will not allow the ECO operation

if the WNS of the hold violation is getting worse.

Next step
1. Please reduce hold target slack by giving a smaller value to below variable:

set slk_hold_target_slk 0.005

2. Please disable the ability to watch setup margin by using below variable:

(not recommended)

set slk_fix_setup_watch_hold_timing_window false

B021 31
Tweaker™ Blocking Codes Version T-2022.03

B022
# Blocked by max fanout limit

Description
Tweaker will not allow the ECO operation on the net whose fanout is larger

than the allowed fanout limit.

Users are able to specify the allowed fanout limit of the net which

is allowed to be touched by Tweaker by using below variable:

(1) Insertion operation:

set slk_auto_insertion_max_fanout_limit value

(2) Sizing operation:

set slk_auto_sizing_max_fanout_limit value

Next step
Set a larger value to below variable:

(1) Insertion operation:

set slk_auto_insertion_max_fanout_limit 200

(2) Sizing operation:

set slk_auto_sizing_max_fanout_limit 200

B022 32
Tweaker™ Blocking Codes Version T-2022.03

B023
# Blocked by max wire length limit

Description
Tweaker will not allow the ECO operation on the net whose wire length is

larger than the allowed wire length limit.

Users are able to specify the allowed wire length limit of the net which

is allowed to be touched by Tweaker by using below variable:

set slk_auto_fix_max_wire_length_limit value

Next step
Set a larger value to below variable:

set slk_auto_fix_max_wire_length_limit 450

B023 33
Tweaker™ Blocking Codes Version T-2022.03

B024
# Blocked by max trans limit

Description
During setup, hold, clock ECO,or power ECO autofix, if the transition of the

post ECO cell is larger than the allowed max-trans value, then Tweaker

will not perform this ECO operation and will give this blocking message.

Users are able to specify allowed max-trans value on below variables:

1. Setup autofix:

set slk_fix_setup_max_trans_drv value

2. Hold autofix:

set slk_fix_hold_max_trans_drv value

3. Power ECO:

set slk_fix_power_eco_max_trans_drv value

4. Clock ECO for setup autofix:

set slk_finetuneclk_setup_clk_max_trans_drv value

5. Clock ECO for hold autofix:

set slk_finetuneclk_hold_clk_max_trans_drv value

Next step
Set a larger value to corresponding variables below:

B024 34
Tweaker™ Blocking Codes Version T-2022.03

1. Setup autofix:

set slk_fix_setup_max_trans_drv 0.85

2. Hold autofix:

set slk_fix_hold_max_trans_drv 0.85

3. Power ECO:

set slk_fix_power_eco_max_trans_drv 0.8

4. Clock ECO for setup autofix:

set slk_finetuneclk_setup_clk_max_trans_drv 0.85

5. Clock ECO for hold autofix:

set slk_finetuneclk_hold_clk_max_trans_drv 0.85

B024 35
Tweaker™ Blocking Codes Version T-2022.03

B025
# Blocked by Timing Window (Setup) (Input Pin)

Description
During auto sizing for fix timing, Tweaker will check each input pin of target

instance to be sized will get timing impact based on target slack or not. If the

sub side is improving but one of the input pin side is impact Tweaker will still

abandon the fix.

Next step
1. Disable setup slack checking by using below variable: (not recommended)

set slk_auto_sizing_ignore_input_pin_slack_check true

2. Allow eco cell setup timing impact (Ex. 10ps impact on ECO cell other input pin.)

set _slk_allow_input_pin_twf_impact true

set slk_auto_sizing_setup_twf_impact 0.01

B025 36
Tweaker™ Blocking Codes Version T-2022.03

B026
# B026 Blocked by Timing Window (Hold) (Input Pin)

Description
During sizing ECO for hold autofix, Tweaker will check if the hold slack of

the driving pin is satisfying the given hold target slack or not.

If the hold slack of the driving pin is smaller than the given hold target

slack, Tweaker will not perform the ECO operation and will give this blocking

message.

Users are able to set hold target slack by using below variable:

set slk_hold_target_slk value

Next step
1. Please set a smaller value to below variable:

set slk_hold_target_slk 0.01

2. Disable hold slack checking by using below variable: (not recommended)

set slk_fix_hold_watch_hold_timing_window false

3. Allow eco cell hold timing impact (Ex. 10ps impact on ECO cell other input pin.)

set _slk_allow_input_pin_twf_impact true

set slk_auto_sizing_hold_twf_impact 0.01

B026 37
Tweaker™ Blocking Codes Version T-2022.03

B027
# Blocked by max fine tune limit

Description
During clock ECO, Tweaker will not allow ECO operation if the slack difference

is larger than the allowed improvement that is given in the setting.

During clock ECO, users are able to specify the allowed slack difference on below

variables:

set slk_max_fine_tune_for_hold_path value

set slk_max_fine_tune_for_setup_path value

Next step
Set a larger value to below variables:

set slk_max_fine_tune_for_hold_path 0.2

set slk_max_fine_tune_for_setup_path 0.2

B027 38
Tweaker™ Blocking Codes Version T-2022.03

B028
# Blocked by watch hold WNS limit

Description
During clock ECO in Tweaker, when the watch-hold-wns function is enabled,

Tweaker will check if current ECO will worsen the hold WNS.

When current ECO operation is worsened hold WNS, Tweaker will not perform

ECO operation and will report this blocking message.

Users are able to enable watch-hold-wns function by using below variable:

set slk_ftc_watch_hold_wns true

Next step
Please use below variable to disable the ability to watch-hold-wns:

set slk_ftc_watch_hold_wns false

B028 39
Tweaker™ Blocking Codes Version T-2022.03

B029
# Blocked by watch setup WNS limit

Description
1. Clock ECO

During clock ECO in Tweaker, when the watch-setup-wns function is enabled,

Tweaker will check if current ECO will worsen the setup WNS.

When current ECO operation is worsened setup WNS, Tweaker will not perform

ECO operation and will report this blocking message.

Users are able to enable watch-setup-wns function by using below variable:

set slk_ftc_watch_setup_wns true

2. Vmin ECO

When the watch-setup-wns function is enabled, Tweaker will check if current

ECO will worsen the setup WNS.

When current ECO operation is worsened setup WNS, Tweaker will not perform

ECO operation and will report this blocking message.

Next step
1. Clock ECO

Please use below variable to disable the ability to watch-setup-wns:

set slk_ftc_watch_setup_wns false

B029 40
Tweaker™ Blocking Codes Version T-2022.03

2. Vmin ECO

Tweaker always watchs WNS.

B029 41
Tweaker™ Blocking Codes Version T-2022.03

B030
# Blocked by max number of buff insertion limit

Description
This is an obsolete blocking code.

Next step
N/A

B030 42
Tweaker™ Blocking Codes Version T-2022.03

B031
# Blocked by min row height limit

Description
This is an obsolete blocking code.

Next step
N/A

B031 43
Tweaker™ Blocking Codes Version T-2022.03

B032
# Blocked by previous cell delay limit

Description
During sizing and by-pass operation for setup autofix, when there is no

Timing Window File fed into Tweaker, Tweaker will use below variable as

the maximum impact limit during the delay calculation:

(a) For sizing operation:

set slk_auto_sizing_cell_delay_impact value (default: 0.05)

(b) For by-pass operation:

set slk_bypass_buff_cell_delay_impact value (default: 0.05)

During the sizing and by-pass operation for setup autofix, if the driver pin

of the sized cell got impacted more than above specified value, then Tweaker

will not perform the ECO operation, and will report this blocking message.

Next step
1. Adjust the allowed impact value by using below variable:

(a) For sizing operation:

set slk_auto_sizing_cell_delay_impact 0.10 (default: 0.05)

(b) For by-pass operation:

set slk_bypass_buff_cell_delay_impact 0.10 (default: 0.05)

B032 44
Tweaker™ Blocking Codes Version T-2022.03

2. Disable the ability to watch timing window file:

set slk_fix_setup_watch_setup_timing_window false

B032 45
Tweaker™ Blocking Codes Version T-2022.03

B033
# Blocked by bypass buff list

Description
During by-pass buffer ECO for setup autofix, if user have specified

the bypass buffer list candidate, then Tweaker will only perform the

by-pass buffer operation on those given candidates.

When Tweaker tried to by-pass the buffer out of the given list,

Tweaker will not perform this ECO and will report this blocking message.

Users are able to specify the by-pass candidate by using below variable:

set slk_bypass_buff_list { cell_types }

Next step
1. Please specify the blocked instance's cell type into below variable:

set slk_bypass_buff_list { BUFFD1 BUFFD2 }

2. Disable specified list by-pass ECO by giving empty string into below variable:

set slk_bypass_buff_list { }

B033 46
Tweaker™ Blocking Codes Version T-2022.03

B034
# Blocked by DRV fail

Description
During timing ECO, Tweaker will not allow the transition or capacitance

value of the ECO cell or the pin that is connected to the ECO cell getting

worse than the allowed transition value.

By default, transition value will come from LIB file.

Users are also able to set the allowed transition value by using below

commands:

set_drv_factor value

set_drv_value value

Next step
1. Set a higher value to below corresponding commands:

set_drv_factor 0.8

or

set_drv_value 2.0

2. Disable DRV checking by using below variable:

set slk_ignore_drv true

B034 47
Tweaker™ Blocking Codes Version T-2022.03

B035
# Blocked by keep long wire slew

Description
When the wire is defined as a "long wire" in Tweaker, then Tweaker will enable

the special slew calculation to the wire.

If the slew value after the ECO is worse than the allowed slew value, Tweaker

will not perform the ECO operation and will report this blocking message.

Users are able to define which net to be included as long wire by specifying

the minimum length under below variable:

set slk_rce_long_wire_length value (default: 50)

Users are able to define the maximum allowed slew value by defining its factor

by using below variable:

set slk_keep_slew_factor value (default: 1.2)

Next step
1. For sizing ECO:

(a) To adjust the allowed slew value degradation, please give a

larger value to below variable:

set slk_keep_slew_factor 1.5 (default: 1.2)

(b) To disable slew calculation for sizing ECO, please use below

B035 48
Tweaker™ Blocking Codes Version T-2022.03

variable:

set slk_auto_sizing_keep_long_wire_slew false

2. For delay insertion ECO:

(a) To adjust the allowed slew value degradation, please give a

larger value to below variable:

set slk_keep_slew_factor 1.5 (default: 1.2)

(b) To disable slew calculation for insertion ECO, please use below

variable:

set slk_delay_insertion_keep_long_wire_slew false

3. To adjust the long-wire definition in Tweaker, please give a larger value

to below variable:

set slk_rce_long_wire_length 100 (default: 50)

4. To disable slew calculation on long wire for all ECO operation:

set slk_fix_keep_scaling_long_wire_slew false

5. To disable slew calculation on all wire for all ECO operation:

set slk_ignore_drv true

B035 49
Tweaker™ Blocking Codes Version T-2022.03

B036
# Blocked by keep SI slew

Description
During sizing operation, Tweaker will not do a sizing down operation

on the net whose contain SI delay.

Next step
Please use below variable to disable SI slew checking in Tweaker:

set slk_auto_sizing_keep_si_slew false

B036 50
Tweaker™ Blocking Codes Version T-2022.03

B037
# Blocked by better slew only

Description
During ECO operation, Tweaker will not allow the slew value become worse

than the allowed slew value.

Next step
1. To adjust the allowed slew value degradation, please give a

larger value to below variable:

set slk_keep_slew_factor 1.5 (default: 1.2)

2. To disable slew calculation on all wire for all ECO operation:

set slk_ignore_drv true

B037 51
Tweaker™ Blocking Codes Version T-2022.03

B038
# Blocked by better slew only (high drive)

Description
During ECO operation, users are able to allow Tweaker to use the high-drive

cell as its insertion candidate cell.

During the insertion, Tweaker will not allow the Post-ECO slew value of the

inserted net become worse than the allowed slew value.

Users are able to specify the insertion high-drive buffer list by using

below variable:

set slk_delay_insertion_high_drive_buff_list { cell_name_list }

Next step
1. To adjust the allowed slew value degradation, please give a

larger value to below variable:

set slk_high_drive_keep_slew_factor 1.5 (default: 1.15)

2. To disable slew calculation on all wire for all ECO operation:

set slk_ignore_drv true

B038 52
Tweaker™ Blocking Codes Version T-2022.03

B039
# Blocked by power eco fix dominate transition

Description
During Power ECO, Tweaker will not perform the sizing operation if it is

causing setup violation due to worsen transition value.

Next step
Disable the dominate-transition checking in Tweaker by using below variable:

(Aggressive)

set slk_power_eco_fix_dominate_transition false

B039 53
Tweaker™ Blocking Codes Version T-2022.03

B040
# Blocked by space limit

Description
During ECO operation, Tweaker will search for the free space within the

given shifting distance, search range, or search window.

For sizing operation, users are able to give the allowed shifting distance

by using below variable:

set slk_auto_sizing_max_shift_distance value (default: 10 ; unit: um)

For insertion operation, users are able to give the free-space search range

by using below variable:

set slk_give_up_insert_buf_distance value (default: 50um ; unit: um)

In addition, for insertion operation, users are also able to give the preferred

wire length of the net that is connected to the ECO cell by using below variable:

set slk_preferred_delay_cell_distance_range lower_bound upper_bound

(default: 6 9999)

User also able to limit the resources searching-window during Metal ECO mode

or free-space searching window by using below command:

set_resource_window [-add_window|-clear_window] x1 y1 x2 y2

Next step

B040 54
Tweaker™ Blocking Codes Version T-2022.03

1. Please give a larger shifting, search range, or preferred wire length by

setting a larger value to below variables:

(a) Shifting distance during sizing operation:

set slk_auto_sizing_max_shift_distance 50 (default: 10um)

(b) Search range during normal insertion operation:

set slk_give_up_insert_buf_distance 100 (default: 50um)

(c) Search range during on-route buffer insertion operation:

set slk_on_route_search_range 20 (default: 5*cell height)

(d) Give a bigger value to the upper bound of preferred wire length

during insertion operation:

set slk_preferred_delay_cell_distance_range 6 9999 (default: 6 9999)

2. Disable the free-space or resources searching-window:

set_resource_window -clear_window -all

3. Disable physical aware by using below variables:

(a) Sizing operation:

set slk_auto_fix_fit_to_free_space false

(b) Insertion operation:

set slk_auto_fix_fit_to_free_space false

set slk_give_up_insert_if_no_space false

set slk_give_up_insert_buf_distance 9999

B040 55
Tweaker™ Blocking Codes Version T-2022.03

B041
# Blocked by power domain

Description
Tweaker doesn't allow ECO insertion if power domain hasn't defined yet.

Users are able to define logical and/or physical power domain by using below

command:

create_voltage_area < -name pd_name | -power_domain pd_name >

[ -polygon {{x1 y1} {x2 y2} ...} |

-coordinate {llx1 lly1 urx1 ury1 ...} ]

[ -instances instance_name_list ]

or

create_voltage_area < -name pd_name | -power_domain pd_name > -default

Next step
1. Please check power domain information in Tweaker by using below command:

check_power_domain_placement [-eco_cells_only] [-verbose] [-force_check]

2. Please also check physical power domain information in UPF/CPF file.

B041 56
Tweaker™ Blocking Codes Version T-2022.03

B042
# Blocked by design unplaceable

Description
During sizing or insertion autofix, if the given candidate(s) cannot

be utilized due to geometry limitation such as: cell height, etc.

then Tweaker will not use this candidate and will report this blocking

message.

Next step
(a) For sizing operation:

Please specify the available mapping rule under corresponding

variable below:

set slk_cell_mapping_rule { cell_name_list }

set slk_cell_mapping_rule_regexp { cell_name_list }

set slk_cell_extended_mapping_rule { cell_name_list }

set slk_cell_extended_mapping_rule_regexp { cell_name_list }

(b) For insertion operation:

Please specify more candidate with different cell height that is available

in the design into below variable:

(b1) For hold autofix:

B042 57
Tweaker™ Blocking Codes Version T-2022.03

set slk_delay_insertion_buff_list { r0hd_bufx1 r0hd_bufx2 \

h0hp_bufx1 h0hp_bufx2 }

(b2) For setup autofix:

set slk_repeater_insertion_buff_list { r0hd_bufx1 r0hd_bufx2 \

h0hp_bufx1 h0hp_bufx2 }

B042 58
Tweaker™ Blocking Codes Version T-2022.03

B043
# Blocked by predicted slack not improved

Description
During sizing operation, Tweaker will enable the prediction algorithm

in choosing the sizing candidate for the sake of ECO runtime.

When Tweaker finds that there's no suitable candidate, Tweaker will not

perform the ECO operation on the instance and will report this blocking

message.

Next step
1. Lower down the minimal allowed improvement for each ECO operation

by using below variable:

set slk_auto_sizing_min_improved_slack 0.002

2. Please disable prediction algorithm in Tweaker by using below variable:

set slk_auto_sizing_high_effort true

B043 59
Tweaker™ Blocking Codes Version T-2022.03

B044
# Blocked by preferred repeater distance limit

Description
During repeater insertion and split load operation for max-trans autofix,

when Tweaker is unable to find any available free space within the given

preferred distance, then Tweaker will not perform the ECO operation, and

will report this blocking message.

Next step
Please set a larger value to below variable:

set slk_preferred_repeater_distance 500

B044 60
Tweaker™ Blocking Codes Version T-2022.03

B045
# Blocked by max delay distance limit

Description
This is an obsolete blocking code.

Next step
N/A

B045 61
Tweaker™ Blocking Codes Version T-2022.03

B046
# Blocked by DEF UNPLACE

Description
Tweaker will not perform any ECO operation on the net that is connected to

any UNPLACED instance.

Next step
Please check the instance in its corresponding DEF file.

B046 62
Tweaker™ Blocking Codes Version T-2022.03

B047
# Blocked by DEF FIX

Description
During sizing operation, Tweaker will not perform the ECO operation on the

cell whose has "FIXED" attribute from DEF file.

Next step
Disable the ability to dont-touch DEF-FIXED cells by using below variable:

set slk_dont_touch_def_fixed_inst false

B047 63
Tweaker™ Blocking Codes Version T-2022.03

B048
# Blocked by net between PAD and IO Port

Description
Tweaker doesn't allow repeater insertion on nets connected between IO to PAD.

Next step
Please check the constraint on the IO port that is connected to the net/path.

B048 64
Tweaker™ Blocking Codes Version T-2022.03

B049
# Blocked by no driver

Description
Tweaker will not perform any insertion operation on the net without

any driver pin.

Next step
1. Please check the connection in the corresponding NETLIST.

2. Users are also able to check the connection by using Tweaker Schematic

and Physical View.

B049 65
Tweaker™ Blocking Codes Version T-2022.03

B050
# Blocked by Tweaker don't touch setting

Description
Tweaker is unable to touch the specific instance due to user's dont-touch

settings.

Users are able to set dont-touch setting on specific net, instance, pin, or

path by using below commands:

1. Don't touch net:

set_dont_touch_net [true|false] -file filename [-flatten] [-quiet]

set_dont_touch_net [true|false] net_name_list [-flatten] [-quiet]

2. Don't touch net routing:

set_dont_touch_net_routing [true|false] -file filename [-flatten]

[-quiet]

set_dont_touch_net_routing [true|false] net_name_list [-flatten]

[-quiet]

3. Don't touch instance:

set_dont_touch_instance [true|false] instance_name_list [-quiet]

set_dont_touch_instance [true|false] -all_registers

set_dont_touch_instance [true|false] -file filename [-quiet]

set_dont_touch_instance [true|false] -module module_name

B050 66
Tweaker™ Blocking Codes Version T-2022.03

[-file filename] [-quiet]

set_dont_touch_instance [true|false] [-from pin_name_list]

[-through pin_name_list] [-to pin_name_list]

[-quiet]

set_dont_touch_instance [true|false] -cell cell_name_list [-regexp]

[-def_fixed true|false] [-quiet]

set_dont_touch_instance [true|false] [-def_fixed true|false]

[-cell cell_name_list] [-regexp] [-quiet]

set_dont_touch_instance [true|false] -power_domain pd_name_list [-quiet]

4. Don't touch pin:

set_dont_touch_pin [true|false] pin_name_list [-quiet]

set_dont_touch_pin [true|false] -file filename [-quiet]

set_dont_touch_pin [true|false] -module module_name [-file file]

[-quiet]

set_dont_touch_pin [true|false] [-from pin_name_list]

[-through pin_name_list] [-to pin_name_list]

[-quiet]

set_dont_touch_pin [true|false] -net net_name_list [-quiet]

set_dont_touch_pin [true|false] -net -file filename [-quiet]

set_dont_touch_pin [true|false] -net -module module_name [-quiet]

set_dont_touch_pin [true|false] -net -module module_name -file filename

[-quiet]

set_dont_touch_pin [true|false] -all_connection pin_name_list [-quiet]

B050 67
Tweaker™ Blocking Codes Version T-2022.03

set_dont_touch_pin [true|false] -all_connection -file filename [-quiet]

set_dont_touch_pin [true|false] -net -netlist_assign

[-design design_name_list]

5. Don't touch path:

dont_touch_slk_path [true|false] -all | -all_modified | path_filter...

path_filter:

[-ff | -io] [-if | -inv_if]

[-slack_range upper_bound lower_bound]

[-path_group path_group_list]

[-endpoint_pin_list lib_pin_list]

[-file filename]

[-clock clock_name_list]

[-clock_pair startpoint_clock endpoint_clock]

[-startpoint_clock clock_name_list]

[-endpoint_clock clock_name_list]

[-from startpoint_pin]

[-to endpoint_pin]

[-through pin_name_list]

[-through_pin_file file_name]

[-path_list path_id_list]

[-dominate]

[-corner corner_name_list]

B050 68
Tweaker™ Blocking Codes Version T-2022.03

[-inv] ##invert match

[-interface_group design_name_list]

[-inv_interface_group design_name_list]

[-from_design design_name_list]

[-to_design design_name_list]

[-skew skew_value]

Next step
1. Report net, instance, or pin whose has dont-touch setting by using below

corresponding commands:

report_dont_touch_net

report_dont_touch_instance

report_dont_touch_pin

2. Remove don't touch setting by using below corresponding commands:

1. Don't touch net:

set_dont_touch_net false -file filename [-flatten] [-quiet]

set_dont_touch_net false net_name_list [-flatten] [-quiet]

2. Don't touch net routing:

set_dont_touch_net_routing false -file filename [-flatten]

[-quiet]

set_dont_touch_net_routing false net_name_list [-flatten]

[-quiet]

3. Don't touch instance:

B050 69
Tweaker™ Blocking Codes Version T-2022.03

set_dont_touch_instance false instance_name_list [-quiet]

set_dont_touch_instance false -all_registers

set_dont_touch_instance false -file filename [-quiet]

set_dont_touch_instance false -module module_name

[-file filename] [-quiet]

set_dont_touch_instance false [-from pin_name_list]

[-through pin_name_list] [-to pin_name_list]

[-quiet]

set_dont_touch_instance false -cell cell_name_list [-regexp]

[-def_fixed true|false] [-quiet]

set_dont_touch_instance false [-def_fixed true|false]

[-cell cell_name_list] [-regexp] [-quiet]

set_dont_touch_instance false -power_domain pd_name_list [-quiet]

4. Don't touch pin:

set_dont_touch_pin false pin_name_list [-quiet]

set_dont_touch_pin false -file filename [-quiet]

set_dont_touch_pin false -module module_name [-file file]

[-quiet]

set_dont_touch_pin false [-from pin_name_list]

[-through pin_name_list] [-to pin_name_list]

[-quiet]

set_dont_touch_pin false -net net_name_list [-quiet]

B050 70
Tweaker™ Blocking Codes Version T-2022.03

set_dont_touch_pin false -net -file filename [-quiet]

set_dont_touch_pin false -net -module module_name [-quiet]

set_dont_touch_pin false -net -module module_name -file filename

[-quiet]

set_dont_touch_pin false -all_connection pin_name_list [-quiet]

set_dont_touch_pin false -all_connection -file filename [-quiet]

set_dont_touch_pin false -net -netlist_assign

[-design design_name_list]

5. Don't touch path:

dont_touch_slk_path false -all | -all_modified | path_filter...

path_filter:

[-ff | -io] [-if | -inv_if]

[-slack_range upper_bound lower_bound]

[-path_group path_group_list]

[-endpoint_pin_list lib_pin_list]

[-file filename]

[-clock clock_name_list]

[-clock_pair startpoint_clock endpoint_clock]

[-startpoint_clock clock_name_list]

[-endpoint_clock clock_name_list]

[-from startpoint_pin]

[-to endpoint_pin]

[-through pin_name_list]

B050 71
Tweaker™ Blocking Codes Version T-2022.03

[-through_pin_file file_name]

[-path_list path_id_list]

[-dominate]

[-corner corner_name_list]

[-inv] ##invert match

[-interface_group design_name_list]

[-inv_interface_group design_name_list]

[-from_design design_name_list]

[-to_design design_name_list]

[-skew skew_value]

B050 72
Tweaker™ Blocking Codes Version T-2022.03

B051
# Blocked by Tweaker don't use setting

Description
During vt-swap, sizing and insertion operation, Tweaker will not use the

instance if it has "dont-use" attribute. Hence, Tweaker will not report

this blocking message if Tweaker is trying to use this cell.

"Dont-use" attribute can either come from its corresponding LIB file or

Tweaker command as below:

set_dont_use_cell [true|false] -file file [-module module_list]

[-power_domain power_domain_list]

[-quiet]

set_dont_use_cell [true|false] cell_name_list [-module module_list]

[-power_domain power_domain_list]

[-quiet]

User are able to set a higher priority compared to the repeater/buffer/delay/inverter

list by using below variable:

set high_priority_for_dont_use_attribute true (default: false)

Next step
1. Report the cell-type with "dont-use" attribute by using below command:

B051 73
Tweaker™ Blocking Codes Version T-2022.03

report_dont_use_cell

2. Remove "don't use" attribute from the corresponding cell-type by using

below command:

set_dont_use_cell [true|false] -file file [-module module_list]

[-power_domain power_domain_list] [-quiet]

set_dont_use_cell [true|false] cell_name_list [-module module_list]

[-power_domain power_domain_list] [-quiet]

3. If the blocked cell is within the given repeater/buffer/delay/inverter list,

please use below variable:

set high_priority_for_dont_use_attribute false (default: false)

B051 74
Tweaker™ Blocking Codes Version T-2022.03

B052
# Blocked by sizing by same library name

Description
While performing sizing or vt-swap ECO operation without applying mapping rule

by using below variable:

set slk_auto_sizing_enable_cell_mapping false

and

set slk_auto_sizing_rule [footprint | sizing | vt | vt_sizing | moving]

Tweaker will based on the library name to differ between different size or

vt-type. Hence, after Tweaker defined the sizing and vt-swap candidate based on

the LIB name, Tweaker will avoid sizing or vt-swap crossing its original

internally defined rule.

Next step
1. Please use below variable to disable the library name checking in Tweaker:

set slk_sizing_by_same_library_name false

2. Please enable mapping rule-based sizing operation by using below variable:

set slk_auto_sizing_enable_cell_mapping true

or

set slk_auto_sizing_rule cell_mapping

B052 75
Tweaker™ Blocking Codes Version T-2022.03

B052 76
Tweaker™ Blocking Codes Version T-2022.03

B053
# Blocked by no candidate cell

Description
During vt-swap, sizing, and insertion operation, if Tweaker cannot find any

better candidate cell, Tweaker will not perform the ECO operation and will report

this blocking message.

1. Users are able to give the vt-swap or sizing candidate by using below command

and variables:

Enabling mapping rule by using below command:

set slk_auto_sizing_rule mapping

set slk_auto_sizing_enable_cell_mapping true

Specifying mapping rule by using one of below variables:

set slk_cell_mapping_rule { cell_name_list }

set slk_cell_mapping_rule_regexp { cell_name_list }

set slk_cell_extended_mapping_rule { cell_name_list }

set slk_cell_extended_mapping_rule_regexp { cell_name_list }

2. By default, when variable slk_auto_configure_candidate_list is true, Tweaker

will auto configure insertion cell when there is no cell in candidate list.

If Tweaker can't find suitable cell from candidate list, Tweaker will report

this blocking code.

B053 77
Tweaker™ Blocking Codes Version T-2022.03

If variable slk_auto_configure_candidate_list is disabled and there is no cell

in candidate list, Tweaker will not perform the ECO and show this blocking code.

Next step
1. During vt-swap and sizing stage, please give more cell-type candidate into the

corresponding variables below:

set slk_cell_mapping_rule { cell_name_list }

set slk_cell_mapping_rule_regexp { cell_name_list }

set slk_cell_extended_mapping_rule { cell_name_list }

set slk_cell_extended_mapping_rule_regexp { cell_name_list }

2. During insertion stage, please give cell types into candidate list.

set slk_repeater_insertion_buff_list { BUFX8 BUFX12 }

set slk_delay_insertion_buff_list { BUFX4 DELX2 }

set slk_dummy_load_cell_list { INVX1 }

Or let Tweaker auto configure cell list when candidate list is not specified.

set slk_auto_configure_candidate_list true ; ### default is true

B053 78
Tweaker™ Blocking Codes Version T-2022.03

B054
# Blocked by no usable cell

Description
This is an obsolete blocking code.

Next step
N/A

B054 79
Tweaker™ Blocking Codes Version T-2022.03

B055
# Blocked by no buffer cell

Description
During repeater, buffer, or delay insertion operation, when the dont-touch

setting has a higher priority than repeater setting, there will be two

scenarios that will lead to this blocking code:

Scenario 1:

If the given list contains repeater/buffer/delay cells, if all of the

candidate cell has "dont-use" attribute, then Tweaker will give this

blocking message.

Scenario 2:

If the given list is empty, then Tweaker will automatically search for

the usable repeater/buffer/delay cells on its own.

If all repeater/buffer/delay cells within the design has "dont-use"

attribute, then Tweaker will give this blocking message.

Users are able to set whether dont-touch setting has the higher priority than

the repeater list by using below command:

set high_priority_for_dont_use_attribute true (default: false)

By default, Tweaker will honor and still use the cell that is listed in the

repeater/buffer/delay list even though those cells have dont-touch attribute.

B055 80
Tweaker™ Blocking Codes Version T-2022.03

During repeater, buffer, or delay insertion operation, users are able to specify

the candidate list by using either one of below variables:

(a) Repeater insertion for setup autofix:

set slk_repeater_insertion_buff_list { cell_type_lists }

(b) Delay insertion during hold autofix:

set slk_delay_insertion_buff_list { cell_type_lists }

Next step
1. Please provide the proper cell candidate on below variables:

(a) Repeater insertion for setup autofix:

set slk_repeater_insertion_buff_list { BUFFD4 BUFFD6 BUFFD8 BUFFD12 }

(b) Delay insertion during hold autofix:

set slk_delay_insertion_buff_list { BUFFD4 BUFFD6 BUFFD8 BUFFD12 DLYX4\

DLYX6 DLYX8 DLYX12 }

2. Please use below command to report dont-use cell:

report_dont_use_cell > dont_use_cell_list.rpt

3. After giving the repeater/buffer/delay list, please set a higher priority to

the given list compared to the dont-touch setting by using below variable:

set high_priority_for_dont_use_attribute false (default: false)

B055 81
Tweaker™ Blocking Codes Version T-2022.03

B056
# Blocked by no inverter

Description
During inverter-pair insertion operation, when the dont-touch

setting has a higher priority than repeater setting, there will be two

scenarios that will lead to this blocking code:

Scenario 1:

If the given list contains inverter cells, if all of the candidate

cell has "dont-use" attribute, then Tweaker will give this

blocking message.

Scenario 2:

If the given list is empty, then Tweaker will automatically search for

the usable inverter cells on its own.

If all inverter cells within the design has "dont-use" attribute, then

Tweaker will give this blocking message.

Users are able to set whether dont-touch setting has the higher priority than

the repeater list by using below command:

set high_priority_for_dont_use_attribute true (default: false)

By default, Tweaker will honor and still use the cell that is listed in the

repeater/buffer/delay list even though those cells have dont-touch attribute.

B056 82
Tweaker™ Blocking Codes Version T-2022.03

During inverter-pair insertion operation, users are able to specify

the candidate list by using either one of below variables:

(a) Inverter-pair insertion for setup autofix:

set slk_repeater_insertion_inverter_list { cell_type_lists }

(b) Inverter-pair insertion for hold autofix:

set slk_delay_insertion_inverter_list { cell_type_lists }

Next step
1. Please provide the proper cell candidate on below variables:

(a) Inverter-pair insertion for setup autofix:

set slk_repeater_insertion_inverter_list { INVX4 INVX6 INVX8 INVX12 }

(b) Inverter-pair insertion for hold autofix:

set slk_delay_insertion_inverter_list { INVX4 INVX6 INVX8 INVX12 }

2. Please use below command to report dont-use cell:

report_dont_use_cell > dont_use_cell_list.rpt

3. After giving the inverter list, please set a higher priority to the

given list compared to the dont-touch setting by using below variable:

set high_priority_for_dont_use_attribute false (default: false)

B056 83
Tweaker™ Blocking Codes Version T-2022.03

B057
# Blocked by Worst Slack impact

Description
During pin-swap ECO operation, if the WNS of the setup path is getting worsen,

then Tweaker will not perform the ECO operation.

Users are able to perform pin-swap ECO operation by using below variable:

set slk_fix_setup_by_pin_swap true

Next step
1. Please set a smaller value to below variable:

set slk_setup_target_slk 0.02

2. Disable the ability to watch setup margin by using below variable:

(Aggressive)

set slk_fix_setup_watch_setup_timing_window false

B057 84
Tweaker™ Blocking Codes Version T-2022.03

B058
# Blocked by the disabled design

Description
When the instance or net is located at the design that is not listed in

the fixing design-list, then Tweaker will not perform the ECO operation,

and will report this blocking message.

Users are able to specify the design to be fixed within Tweaker by listing

them on below variable:

slkfix -design_list { design_list }

Next step
Please specify the required design list on below variable:

slkfix -design_list { design_list }

B058 85
Tweaker™ Blocking Codes Version T-2022.03

B059
# Blocked by the slkfix -module_list

Description
When the instance or net is located at the design that is not listed in

the fixing module list, then Tweaker will not perform the ECO operation,

and will report this blocking message.

Users are able to specify the module to be fixed within Tweaker by listing

them on below variable:

slkfix [ -setup | -hold | -max_trans | -max_cap | -max_fanout |

-noise | -power_eco | -si_prevention | -area_recovery |

-all_setup_path | -all_hold_path | -all_max_trans |

-all_max_cap | -twf_setup] [-module_list module_name_list]

Tweaker doesn't allow ECO if violation path is not in the specified module list.

Next step
Please specify the module name into below variable:

slkfix [ -setup | -hold | -max_trans | -max_cap | -max_fanout |

-noise | -power_eco | -si_prevention | -area_recovery |

-all_setup_path | -all_hold_path | -all_max_trans |

-all_max_cap | -twf_setup] [-module_list module_name_list]

B059 86
Tweaker™ Blocking Codes Version T-2022.03

B059 87
Tweaker™ Blocking Codes Version T-2022.03

B060
# Blocked by max dummy load cell count/distance limit

Description
During dummy-load insertion operation for hold autofix, if the number of

the inserted dummy-load or available free space is larger than the

user-specified value, then Tweaker will not perform the ECO operation

and will report this blocking message.

Next step
Please specify a larger value to below variables:

(a) Dummy load count:

set slk_max_dummy_load_cell_count 10

(b) Free-space search range:

set slk_max_dummy_load_cell_distance 15

B060 88
Tweaker™ Blocking Codes Version T-2022.03

B061
# Blocked by SI net (add dummy load)

Description
Tweaker can't insert dummy cell if violation paths have SI impact.

Next step
N/A

B061 89
Tweaker™ Blocking Codes Version T-2022.03

B062
# Blocked by Tweaker don't touch cells setting

Description
During vt-swap and sizing operation, Tweaker will not perform the ECO operation

on the cell with "don't-touch" attribute.

"Don't-touch" attribute can either come from its corresponding LIB file,

SDC file,or Tweaker command as below:

set_dont_touch_cell [true|false] -file filename [-quiet]

or

set_dont_touch_cell [true|false] cell_name_list [-quiet]

Users are also able to set a "SDC-based don't-touch cell" attribute on

specific instances by using below command:

set_dont_touch_cell_by_sdc [true|false] { instance_name_list }

Next step
1. Report cell-name whose have "don't-touch cell" attribute

report_dont_touch_cell

2. Report cell-name whose have "don't-touch cell" attribute from SDC or

from Tweaker command:

report_dont_touch_cell_by_sdc

B062 90
Tweaker™ Blocking Codes Version T-2022.03

3. Remove the "dont-touch cell" attribute by using below command:

set_dont_touch_cell false -file filename [-quiet]

or

set_dont_touch_cell false cell_name_list [-quiet]

4. Remove the "SDC-based dont-touch cell" attribute by using below command:

set_dont_touch_cell_by_sdc false { instance_name_list }

B062 91
Tweaker™ Blocking Codes Version T-2022.03

B063
# Blocked by Tweaker don't touch cell on instance setting

Description
During sizing/vt-swap operation, if the cell have the "dont-touch cell"

attribute, then Tweaker will not perform the ECO operation on it,

and will report this blocking message.

Users are able to set "dont-touch-cell" by giving the instance names or

a file that contain instance names by using below commands:

set_dont_touch_cell [true|false] cell_name_list [-instance] [-quiet]

or

set_dont_touch_cell [true|false] -file filename [-instance] [-quiet]

Next step
1. Report dont-touch instance name by using below command:

report_dont_touch_instance

2. Remove dont-touch cell attribute by using below commands:

set_dont_touch_cell false cell_name_list [-instance] [-quiet]

or

set_dont_touch_cell false -file filename [-instance] [-quiet]

B063 92
Tweaker™ Blocking Codes Version T-2022.03

B064
# Blocked by Tweaker doesn't touch power switch net

Description
During insertion operation, Tweaker will not perform the ECO operation

if the net is a power switch net.

Next step
Disable dont-touch setting on power switch nets by using below variable:

set slk_dont_touch_power_switch_net false

B064 93
Tweaker™ Blocking Codes Version T-2022.03

B065
# Blocked by Tweaker doesn't touch tie cell net

Description
During insertion operation, Tweaker will not perform the ECO operation

if the net is a connected to tie-high or tie-low cells.

Next step
Disable dont-touch setting on the nets that is connected to tie cell by

using below variable:

set slk_dont_touch_tie_cell_net false

B065 94
Tweaker™ Blocking Codes Version T-2022.03

B066
# Blocked by Tweaker doesn't touch always on conflict net

Description
By default, Tweaker will not perform any repeater or delay insertion on the

always-on conflict nets.

In Tweaker, "always-on conflict nets" means that the net is connected

to two or more sink pins that is located at the same power domain,

and those connected sink instances have different attributes

(some of them may have "always-on" attribute cells, while the others

do not have "always-on" attribute cell)

Next step
1. Please disable the ability to dont-touch the always-on conflict nets

by using below variable:

set slk_dont_touch_always_on_conflict_net true

2. Also please provide both always-on and normal cell into below corresponding

variables:

(a) Repeater insertion for setup autofix:

set slk_repeater_insertion_buff_list { cell_type_lists }

(b) Delay insertion during hold autofix:

B066 95
Tweaker™ Blocking Codes Version T-2022.03

set slk_delay_insertion_buff_list { cell_type_lists }

(c) Inverter-pair insertion for setup autofix:

set slk_repeater_insertion_inverter_list { cell_type_lists }

(d) Inverter-pair insertion for hold autofix:

set slk_delay_insertion_inverter_list { cell_type_lists }

B066 96
Tweaker™ Blocking Codes Version T-2022.03

B067
# Blocked by slack not improved

Description
During autofix, Tweaker will not perform the ECO operation if the post-eco

slack result improvement is smaller than user minimum improvement requirement.

Users are able to set the minimum improvement requirement by using below

variables:

a. Sizing operation:

set slk_auto_sizing_min_improved_slack value (default: 0.01)

b. By-pass operation:

set slk_bypass_buff_min_improved_slack value (default: 0.05)

c. Repeater insertion for setup autofix:

set slk_fix_setup_min_improved_slack_of_repeater_insertion value

(default: 0.01)

d. Repeater insertion for max-trans autofix:

set slk_fix_trans_min_improved_slack_of_repeater_insertion value

(default: 0.1)

Next step
Set a smaller value to below corresponding variables:

B067 97
Tweaker™ Blocking Codes Version T-2022.03

a. Sizing operation:

set slk_auto_sizing_min_improved_slack 0.003

b. By-pass operation:

set slk_bypass_buff_min_improved_slack 0.003

c. Repeater insertion for setup autofix:

set slk_fix_setup_min_improved_slack_of_repeater_insertion 0.005

d. Repeater insertion for max-trans autofix:

set slk_fix_trans_min_improved_slack_of_repeater_insertion 0.005

B067 98
Tweaker™ Blocking Codes Version T-2022.03

B068
# Blocked by violation count not improved

Description
There will be two scenario that will lead to this blocking code:

1. During Clock ECO with target of reducing NFE, or

2. When no Timing Windows File is fed into Tweaker, then

Tweaker will only allow the ECO operation to be done if it will reduce

the NFE number.

Next step
1. Disable Clock ECO with NFE reduction targeting run.

set slk_ftc_setup_eco_target [wns|lwns|tns|nfe]

or

set slk_ftc_hold_eco_target [wns|tns|nfe]

2. Please feed Timing Windows File into Tweaker.

B068 99
Tweaker™ Blocking Codes Version T-2022.03

B069
# Blocked by violation count not improved

Description
This is an obsolete blocking message.

Next step
N/A

B069 100
Tweaker™ Blocking Codes Version T-2022.03

B070
# Blocked by pins name mismatch

Description
During sizing, vt-swap, or pin-swap ECO operation, when the pin-name between

the original cell and the candidate ECO cell is mismatched, Tweaker will not

perform the ECO operation and will report this blocking message.

Next step
1. Please check the given mapping rule.

set slk_cell_mapping_rule { cell_name_list }

set slk_cell_mapping_rule_regexp { cell_name_list }

set slk_cell_extended_mapping_rule { cell_name_list }

set slk_cell_extended_mapping_rule_regexp { cell_name_list }

2. Please check the LIB and LEF files of the corresponding cell.

B070 101
Tweaker™ Blocking Codes Version T-2022.03

B071
# Blocked by assign net

Description
During insertion operation, Tweaker will not perform ECO operation on the net

with assign attribute from netlist.

Next step
Disable below dont-touch on the assigned-net by using below variables:

set enable_buffer_assign_nets true

or

set_dont_touch_pin false -net -netlist_assign

B071 102
Tweaker™ Blocking Codes Version T-2022.03

B072
# Blocked by no watch clock as data

Description
When the instance is connected from a clock generated cell and to a data pin

of a register, this path will be included as "clock-as-data" path in Tweaker.

By default, Tweaker doesn't allow ECO on "clock-as-data" violation.

Next step
Please allow Tweaker to fix on the "clock-as-data" violated path by using

below variable:

set slk_fix_watch_clock_as_data true

B072 103
Tweaker™ Blocking Codes Version T-2022.03

B073
# Blocked by twf clock pin

Description
By default, Tweaker will not touch any clock pins during any ECO operation.

Next step
Enable Tweaker to touch clock tree by using below corresponding variables:

(a) Hold autofix:

set slk_fix_hold_dont_touch_clock_net false

(b) Max-trans/Max-cap/Noise/Max-fanout autofix

set slk_fix_drv_dont_touch_clock false

(c) Setup autofix:

set slk_fix_dont_touch_clock_comp false

B073 104
Tweaker™ Blocking Codes Version T-2022.03

B074
# Blocked by net connected to clock pin

Description
During delay insertion operation for hold autofix, Tweaker doesn't

allow any insertion on net which is connected clock pin.

Next step
Enable Tweaker to touch clock tree by using below corresponding variables:

set slk_fix_hold_dont_touch_clock_net false

B074 105
Tweaker™ Blocking Codes Version T-2022.03

B075
# Blocked by net connected to IP block input pin

Description
This is an obsolete blocking code.

Next step
N/A

B075 106
Tweaker™ Blocking Codes Version T-2022.03

B076
# Blocked by slk_auto_sizing_within_instance_list

Description
The blocked pin is not within the given specified pin list.

During DRV (max-trans, max-cap, or noise) autofix, users are able to perform

autofix on some specified pin by using below variables:

Enables fixing within specified pin list by using below variable:

set slk_fix_drv_within_pin_list true

Specifies the pin-list by using below variable:

set slk_fix_drv_specified_pin_list_file filename

or

set slk_fix_drv_by_lib_for_pin_list filename

Next step
1. Please specify the blocked violation pin into the list that pointed on

below corresponding variables:

set slk_fix_drv_specified_pin_list_file filename

or

set slk_fix_drv_by_lib_for_pin_list filename

2. Please disable specified pin list variable by using below variable:

B076 107
Tweaker™ Blocking Codes Version T-2022.03

set slk_auto_sizing_within_instance_list false

B076 108
Tweaker™ Blocking Codes Version T-2022.03

B077
# Blocked by slk_bypass_within_instance_list

Description
The blocked instance is not within the given specified instance list.

During by-pass ECO operation, users are able to perform it on some

specific instance by specifying them by using below variables:

Enables by-pass within specified instance list by using below variable:

set slk_bypass_within_instance_list true

Specifies the list that contains instance name by using below variable:

set slk_bypass_instance_file filename

Next step
1. Please add the blocked instance into the list that is pointed in below

variable:

set slk_bypass_instance_file filename

2. Disable by-pass within instance list function by using below variable:

set slk_bypass_within_instance_list false

B077 109
Tweaker™ Blocking Codes Version T-2022.03

B078
# Blocked by slk_bypass_preserve_instance_list

Description
The blocked instance is within the given preserve specified list.

During by-pass ECO operation, users are able give a list that contains

the instance names that need to be preserved, so that Tweaker will not

by-pass those instances.

Enables by-pass within specified instance list by using below variable:

set slk_bypass_preserve_instance_list true

Specifies the list that contains instance name by using below variable:

set slk_bypass_instance_file filename

Next step
1. Please remove the blocked instance from the list that is pointed in below

variable:

set slk_bypass_instance_file filename

2. Disable by-pass by preserving some specific instance function by using

below variable:

set slk_bypass_preserve_instance_list false

B078 110
Tweaker™ Blocking Codes Version T-2022.03

B079
# No Timing Arc

Description
Tweaker will not perform any ECO operation on the instance or net that is

connected to the instance without complete timing arc within its corresponding

LIB files.

Next step
Please check the corresponding instance LIB files.

B079 111
Tweaker™ Blocking Codes Version T-2022.03

B080
# Driving slack is not improved

Description
During sizing operation for DRV (max-trans, max-cap, or noise) autofix,

Tweaker will not perform the ECO operation if the driving slack of

the ECO instance is not improved.

Next step
Disable driving slack checking function by using below corresponding

variables:

set slk_fix_drv_by_sizing_ignore_driving_drv true

B080 112
Tweaker™ Blocking Codes Version T-2022.03

B081
# Blocked by SI Prevention

Description
During all type of autofix, Tweaker will not perform the ECO operation if it

will cause worsen the slack value due to SI impact.

Next step
Please disable the SI aware/SI prevention function to corresponding variables

below:

(a) Insertion operation for hold autofix:

set slk_fix_hold_enable_si_aware false

set slk_si_prevention_by_insertion false

(b) Sizing operation for hold autofix:

set slk_fix_hold_enable_si_aware false

set slk_si_prevention_by_sizing false

(c) VT-swap operation for hold autofix:

set slk_fix_hold_enable_si_aware false

set slk_si_prevention_by_swap false

(d) Insertion operation for setup autofix:

set slk_si_prevention_by_insertion false

B081 113
Tweaker™ Blocking Codes Version T-2022.03

(e) Sizing operation for setup autofix:

set slk_si_prevention_by_sizing false

(f) VT-swap operation for setup autofix:

set slk_si_prevention_by_swap false

(g) Power ECO operation:

set slk_power_eco_enable_si_aware false

B081 114
Tweaker™ Blocking Codes Version T-2022.03

B082
# Blocked by (not) Always On issue

Description
During repeater or delay insertion operation for setup and hold autofix,

if the net is connected to an always-on buffer, and if Tweaker need to

perform the insertion on the always-on domain, then Tweaker will need

always-on cell.

When Tweaker cannot find any suitable always-on cell in the repeater or

delay list then Tweaker will report this blocking message.

Next step
1. Please specify always-on cell into below corresponding variables:

(a) Insertion operation for setup autofix:

set slk_repeater_insertion_buff_list { AON_BUFX2 AONBUFX4 AONBUFX8 }

(b) Insertion operation for hold autofix:

set slk_delay_insertion_buff_list { AON_BUFX2 AONBUFX4 AONBUFX8 \

AON_DLYX2 AONLYX4 AONLYX8 }

2. If Tweaker is still reporting this blocking message even after we specify

the always-on cells, it means that the given always-on candidate is not

suitable for the insertion. Hence, please provide always-on buffer with

B082 115
Tweaker™ Blocking Codes Version T-2022.03

higher driving capability or higher delay value.

B082 116
Tweaker™ Blocking Codes Version T-2022.03

B083
# Blocked by multi-driver net

Description
Tweaker will not perform any repeater or delay insertion operation on

the multi-driven net.

Next step
Please check the connection of the net in its corresponding NETLIST or

in Tweaker Schematic View.

B083 117
Tweaker™ Blocking Codes Version T-2022.03

B084
# Blocked by INOUT pin

Description
By default, Tweaker will not perform repeater or delay insertion on the net

that is connected to INOUT ports.

Next step
Please check the connection of the net in the its corresponding NETLIST or

Tweaker Schematic View.

B084 118
Tweaker™ Blocking Codes Version T-2022.03

B085
# Blocked by multi-output cell

Description
This is an obsolete blocking code.

Next step
N/A

B085 119
Tweaker™ Blocking Codes Version T-2022.03

B086
# Blocked by fix setup/cons without sizing down

Description
By default, during DRV (max-trans, max-cap, or noise) and setup autofix,

Tweaker will not allow any sizing-down operation.

Next step
Please set enable sizing down operation by using corresponding variables below:

(a) DRV autofix:

set slk_fix_cons_without_sizing_down false

(b) Setup autofix:

set slk_fix_setup_without_sizing_down false

It's highly recommended to also control the sizing level while allowing

sizing down operation for DRV and setup autofix by using below variable:

set slk_auto_sizing_level 2

B086 120
Tweaker™ Blocking Codes Version T-2022.03

B087
# Blocked by fix hold without sizing up

Description
By default, during hold autofix, Tweaker will not allow any sizing-up operation.

Next step
Please set enable sizing up operation by using corresponding variables below:

set slk_fix_hold_without_sizing_up false

It's highly recommended to also control the sizing level while allowing

sizing up operation for hold autofix by using below variable:

set slk_auto_sizing_level 2

B087 121
Tweaker™ Blocking Codes Version T-2022.03

B088
# Blocked by bypass buffer disable new port

Description
By default, Tweaker will not perform any by-pass operation if

the operation will need Tweaker to create a new port.

Next step
Please enable by-pass buffer even if Tweaker will need to create new port

by using below variable:

set slk_bypass_buffer_disable_new_port false

B088 122
Tweaker™ Blocking Codes Version T-2022.03

B089
# Blocked by bypass buffer disable new assign

Description
By default, Tweaker will not perform any by-pass operation if

the operation will need Tweaker to create a new assigned net.

Next step
Please enable by-pass buffer even if Tweaker will need to create new assigned

net by using below variable:

set slk_bypass_buffer_disable_new_assign false

B089 123
Tweaker™ Blocking Codes Version T-2022.03

B090
# Blocked by don't touch padding instance

Description
Tweaker doesn't allow sizing cell if cell has been set as cell padding.

Next step
Disable dont-touch cell padding instance by using below variable:

set slk_dont_touch_padding_inst false

B090 124
Tweaker™ Blocking Codes Version T-2022.03

B091
# Blocked by hfi keep driver net name

Description
During insertion autofix, Tweaker will keep the driver's net name.

Tweaker will not perform the repeater insertion if the driver's net will be

changed after performing the ECO.

Next step
Please disable the keep driver net name function by using below variable:

set slk_hfi_keep_driver_net_name false

B091 125
Tweaker™ Blocking Codes Version T-2022.03

B092
# Blocked by hfi peer hold margin

Description
During delay insertion operation for hold autofix, Tweaker will not perform

the ECO operation if the peer that is connected to the inserted net doesn't

have enough hold margin.

Next step
Please enlarge the hold grouping margin by

set slk_hfi_grouping_hold_margin 0.02

or a smaller hold target slack by

set slk_hold_target_slk 0

to increase the fixing hold chance.

B092 126
Tweaker™ Blocking Codes Version T-2022.03

B093
# Blocked by slk fix si only

Description
Tweaker doesn't allow any other autofix other than SI fixing,

when users enable the "fix SI only" function.

Users are able to perform "fix SI only" autofix by using below variable:

set slk_fix_si_only true

Next step
Please disable "fix SI only" function by using below variable:

set slk_fix_si_only false

B093 127
Tweaker™ Blocking Codes Version T-2022.03

B094
# Blocked by slk fix keep pin geometry

Description
Tweaker cannot perform the ECO operation when user enable "keep pin geometry"

function.

Users are able to enable the "keep pin geometry" function to keep the cell pin

geometry modification by using variable:

set slk_fix_keep_pin_geometry true

Next step
Please disable "keep pin geometry" function by using below variable:

set slk_fix_keep_pin_geometry false

B094 128
Tweaker™ Blocking Codes Version T-2022.03

B095
# Blocked by leakage power not improved

Description
This blocking code is for older Power ECO version of 2010.

Current Tweaker Power ECO version is 2013.

Tweaker will not change cell if power leakage can't be improved.

Next step
Please check the given mapping rule.

B095 129
Tweaker™ Blocking Codes Version T-2022.03

B096
# Blocked by output floating

Description
Tweaker will not perform the insertion operation on the cell with output

floating connection.

Next step
Please check the connection of the blocked instance/pin in its corresponding

NETLIST or Tweaker Schematic View.

B096 130
Tweaker™ Blocking Codes Version T-2022.03

B097
# Blocked by instance overlap with boundary

Description
Tweaker will only touch the cells which place inside of window but will

not touch the cells which place on the window boundary. Usually the window

boundary is specified by command "slkfix -add_window x1 y1 x2 y2".

Next step
Please check the window which specified by command:

slkfix -add_window [-design design_name] x1 y1 x2 y2 [-verbose]

B097 131
Tweaker™ Blocking Codes Version T-2022.03

B098
# Blocked by delete inverter

Description
During by-pass ECO operation, Tweaker will not perform the ECO operation

on a single inverter to avoid any functional change.

By default, Tweaker will by-pass inverter-pairs.

Next step
N/A

B098 132
Tweaker™ Blocking Codes Version T-2022.03

B099
# Blocked by predicted slack not improved

Description
To reduce the ECO runtime, by default, Tweaker will enable the prediction

algorithm, hence Tweaker will not really try all available candidate

one-by-one.

When predicted slack value after swapping the candidate is smaller than

the allowed minimum improvement, then Tweaker will not perform the ECO operation.

Next step
1. Please set a smaller allowed improvement to be done for each ECO

operation by setting a smaller value to below variable:

(a) Sizing operation for setup and hold autofix:

set slk_auto_sizing_min_improved_slack 0.002

(b) Insertion operation for setup autofix:

set slk_fix_setup_min_improved_slack_of_repeater_insertion 0.005

(c) Insertion operation for max-trans autofix:

set slk_fix_trans_min_improved_slack_of_repeater_insertion 0.005

2. For sizing operation, users are disable the prediction function in Tweaker

by using below variable:

B099 133
Tweaker™ Blocking Codes Version T-2022.03

set slk_auto_sizing_high_effort true

B099 134
Tweaker™ Blocking Codes Version T-2022.03

B100
# Blocked by twf file not ready

Description
During TWF-based fixing, if TWF is not fed into Tweaker, then Tweaker

will not perform the autofix, and will report this blocking message.

Next step
Please provide TWF file into Tweaker by using below command:

twfin [-analysis_type single|bc_wc|on_chip_variation]

[-type sdf_min|sdf_typ|sdf_max]

[-min_type sdf_min|sdf_typ|sdf_max]

[-max_type sdf_min|sdf_typ|sdf_max]

[-si_analysis_type single|bc_wc|on_chip_variation]

[-si_type sdf_min|sdf_typ|sdf_max]

[-si_min_type sdf_min|sdf_typ|sdf_max]

[-si_max_type sdf_min|sdf_typ|sdf_max]

[-si si_name] ## multi-corner only

[-min] ### read min slack

[-max] ### read max slack

file_name_list

B100 135
Tweaker™ Blocking Codes Version T-2022.03

[-hier_prefix hier_prefix_list]

B100 136
Tweaker™ Blocking Codes Version T-2022.03

B101
# Blocked by extract setup margin trans

Description
During extract-setup-margin ECO operation, users are able to specify

the maximum allowed transition value.

Hence, when performing Extract-Setup-Margin ECO, Tweaker will only allow

the ECO to be done when the transition value is within the allowed transition

value.

Next step
Loosen the DRV constraint in Tweaker by increase the value of below variable:

set slk_extract_setup_margin_trans 0.15 (default value: 0.1)

B101 137
Tweaker™ Blocking Codes Version T-2022.03

B102
# HFS: DEF not ready

Description
During max-trans autofix, while performing repeater insertion ECO, Tweaker

will need the location information from DEF.

Hence, when DEF file is not imported in to Tweaker or when Tweaker expects

to insert on the net that is connected to any pin whose location is missing

from DEF file, Tweaker will not perform this ECO operation.

Next step
1. Please check the existence of the DEF file. To import DEF file into

Tweaker, please use "defin" command.

2. Please check the location of the blocked pin within the given DEF file.

B102 138
Tweaker™ Blocking Codes Version T-2022.03

B103
# HFS: Unfixable design region

Description
Tweaker is unable to perform the autofix in the design(s)

since it's not allowed by current setting.

During autofix, users are able to specify the design name

that can be touched by Tweaker by using below command:

slkfix -design_list [-hier] design_name_list

Next step
Allow Tweaker to fix on the other design by specifying them on below command:

slkfix -design_list [-hier] design_name_list

B103 139
Tweaker™ Blocking Codes Version T-2022.03

B104
# HFS: Global route is failed

Description
During repeater insertion for max-trans autofix, Tweaker cannot perform

its internal global routing due to its physical limitation such as

routing blockages, placement blockage, etc.

Next step
1. Please review the physical condition around the blocked instance.

2. Please contact Dorado's AE for further assistance.

3. To completely disable placement blockage, please use below variables:

(a) Disable HALO blockage:

set enable_macro_halo_blockages false

(b) Disable partial blockage:

set enable_partial_blockages false

(c) Disable soft blockage:

set enable_soft_blockages false

(d) Disable all placement blockage:

set ignore_def_placement_blockages true

B104 140
Tweaker™ Blocking Codes Version T-2022.03

B105
# HFS: Buffer is weak

Description
When none of the given repeater list is having enough driving capability,

then Tweaker will not perform the insertion operation, and will report

this blocking message.

Next step
Please provide buffer with stronger driving capability to corresponding

variables below:

set slk_repeater_insertion_buff_list { BUFX12 BUFX16 }

set slk_repeater_insertion_inverter_list { INVX12 INVX16 }

set slk_repeater_insertion_clock_buff_list { CKBUFX12 CKBUFX16 }

set slk_repeater_insertion_clock_inverter_list { CKINVX12 CKINVX16 }

set slk_repeater_insertion_constant_cell_list { ORX16 ANDX12 ANDX16 }

B105 141
Tweaker™ Blocking Codes Version T-2022.03

B106
# HFS: No proper cell in always on conflict power domain

Description
If Tweaker can not find the proper cell to be inserted into

the power domain with conflicting power cells, then Tweaker

will not perform the ECO operation, and will report this blocking

message.

Users are able to force Tweaker to insert on the conflicting power

domain by using below variable:

set slk_dont_touch_always_on_conflict_net false

Next step
Please give both normal buffer/inverter and always-on buffer/inverter

to below corresponding repeater list variables:

set slk_repeater_insertion_buff_list { AON_BUFX4 AON_BUFX8 \

BUFX4 BUFX8 }

set slk_repeater_insertion_inverter_list { AON_INVX4 AON_INVX8 \

INVX4 INVX8 }

set slk_repeater_insertion_clock_buff_list { AON_CKBUFX4 \

AON_CKBUFX8 \

B106 142
Tweaker™ Blocking Codes Version T-2022.03

CKBUFX4 CKBUFX8 }

set slk_repeater_insertion_clock_inverter_list { AON_CKINVX4 \

AON_CKINVX8 CKINVX4\

CKINVX8 }

set slk_repeater_insertion_constant_cell_list { AON_ORX4 AON_ORX8 \

AON_ANDX4 AON_ANDX8 \

ORX4 ORX8 ANDX4 ANDX8}

B106 143
Tweaker™ Blocking Codes Version T-2022.03

B107
# HFS: Output load is larger than max cap of the buffers

Description
If Tweaker detects that the max capacitance value(s) of the given repeater(s)

list is smaller than the total capacitance (loading) of the connected sink

pin(s), then Tweaker will not perform the ECO operation.

Next step
Please give stronger repeater candidates by using below variable:

set slk_repeater_insertion_buff_list { BUFDX12 BUFDX18 }

B107 144
Tweaker™ Blocking Codes Version T-2022.03

B108
# No compatible buffer cell found

Description
When Tweaker finds the need to insert an always-on cells on the violated net

(within the violation path) which is connected to always-on cell(s), however

if Tweaker can not find the required always-on buffer in the candidate

list, Tweaker will not perform the ECO operation, and will report this

blocking message.

Next step
Please provide the required always-on cell and normal cell into corresponding variables:

set slk_repeater_insertion_buff_list { AON_BUFX4 AON_BUFX8 \

BUFX4 BUFX8 }

set slk_repeater_insertion_inverter_list { AON_INVX4 AON_INVX8 \

INVX4 INVX8 }

set slk_repeater_insertion_clock_buff_list { AON_CKBUFX4 \

AON_CKBUFX8 \

CKBUFX4 CKBUFX8 }

set slk_repeater_insertion_clock_inverter_list { AON_CKINVX4 \

AON_CKINVX8 \

B108 145
Tweaker™ Blocking Codes Version T-2022.03

CKINVX4 CKINVX8 }

set slk_repeater_insertion_constant_cell_list { AON_ORX4 AON_ORX8 \

AON_ANDX4 AON_ANDX8 \

ORX4 ORX8 ANDX4 ANDX8 }

set slk_delay_insertion_buff_list { AON_DLYX4 AON_DLYX8 DLYX4 DLYX8 }

B108 146
Tweaker™ Blocking Codes Version T-2022.03

B109
# HFS: Add buffer instance fail

Description
This is an obsolete blocking message.

Next step
N/A

B109 147
Tweaker™ Blocking Codes Version T-2022.03

B110
# HFS: No resource in the preferred region

Description
1. During normal repeater insertion:

Tweaker cannot find any free space within the given search range.

2. During on-route repeater insertion:

Tweaker cannot find any free space within the given search range around

the corresponding detail-routing.

3. During autofix with specified-pin-list repeater insertion:

There will be two possibilities as below:

(a) Tweaker cannot find any free space within the given search range.

(b) User is specifying the driver pin instead of sink pin.

Next step
1. During normal repeater insertion:

Please specify a larger number into below variable:

set slk_give_up_insert_buf_distance 100 (unit: um)

2. During on-route repeater insertion:

Please specify a larger number into below variable:

set slk_on_route_search_range 5 (unit: cell height)

B110 148
Tweaker™ Blocking Codes Version T-2022.03

3. During autofix with specified-pin-list repeater insertion:

(a) Please specify a larger number into below variable:

set slk_give_up_insert_buf_distance 100 (unit: um)

or

set slk_on_route_search_range 5 (unit: cell height)

(b) Please specified the hierarchical sink pin name into the specified-pin-

list below:

set slk_fix_drv_specified_pin_list_file pin_list_1.rpt

or

set slk_fix_drv_by_lib_for_pin_list pin_list_2.rpt

Without giving the violated sink pin name into specified-pin-list, users are

also able to let Tweaker to directly trace the driving pin by using below

variable:

set slk_fix_drv_within_pin_list_expand_to_net true

B110 149
Tweaker™ Blocking Codes Version T-2022.03

B111
# HFS: No unplaced buffer instance is preferred

Description
This is an obsolete blocking message.

Next step
N/A

B111 150
Tweaker™ Blocking Codes Version T-2022.03

B112
# HFS: Driver of net is unplaced

Description
During max-trans autofix, if the driver of the inserted net is an unplaced

cell, then Tweaker will not perform the ECO operation.

Next step
Please check the physical location of the instance in the DEF file.

B112 151
Tweaker™ Blocking Codes Version T-2022.03

B113
# HFS: Driver is strong

Description
Driver cell is strong enough and violation has been fixed.

Next step
N/A

B113 152
Tweaker™ Blocking Codes Version T-2022.03

B114
# HFS: Sink pins are dispersive

Description
This is an obsolete blocking message.

Next step
N/A

B114 153
Tweaker™ Blocking Codes Version T-2022.03

B115
# HFS: Path is through inout pin

Description
During max-trans autofix, if Tweaker detects the net is connected to

inout pin, then Tweaker will not perform the insertion operation,

and will dump this blocking message.

Next step
N/A

B115 154
Tweaker™ Blocking Codes Version T-2022.03

B116
# HFS: Solution is worse

Description
During max-trans autofix, if Tweaker detects that the inserted repeater will

worsen the original violated pin, Tweaker will not perform the ECO operation.

Next step
Please provide repeater with better driving capability by using below

variable:

set slk_repeater_insertion_buff_list { BUFX12 BUFX16 }

set slk_repeater_insertion_inverter_list { INVX12 INVX16 }

set slk_repeater_insertion_clock_buff_list { CKBUFX12 CKBUFX16 }

set slk_repeater_insertion_clock_inverter_list { CKINVX12 CKINVX16 }

set slk_repeater_insertion_constant_cell_list { ORX12 ORX16 ANDX12 \

ANDX16}

B116 155
Tweaker™ Blocking Codes Version T-2022.03

B117
# HFS: Invalid net

Description
During max-trans autofix, when Tweaker detects invalid net, such as

multi-driver net, Tweaker will not perform the ECO operation and

will dump this blocking message.

Next step
Please check the net connection in the Tweaker Schematic View, Physical View,

and its corresponding Netlist file.

B117 156
Tweaker™ Blocking Codes Version T-2022.03

B118
# HFS: DB error

Description
When there's missing information in Tweaker DB, Tweaker cannot perform

any ECO operation to this specific instance.

Next step
Please check the condition of the reported instance within its corresponding

Netlist and DEF files.

B118 157
Tweaker™ Blocking Codes Version T-2022.03

B119
# HFS: DB place buffer instance error

Description
This is an obsolete blocking message.

Next step
N/A

B119 158
Tweaker™ Blocking Codes Version T-2022.03

B120
# HFS: DB error add instance

Description
When there's missing information in Tweaker DB, Tweaker cannot perform

any ECO operation to this specific instance.

Next step
Please check the condition of the reported instance within its corresponding

Netlist and DEF files

B120 159
Tweaker™ Blocking Codes Version T-2022.03

B121
# HFS: unknown error

Description
This is an obsolete blocking message.

Next step
N/A

B121 160
Tweaker™ Blocking Codes Version T-2022.03

B122
# Blocked by don't touch data path

Description
Tweaker will perform any ECO operation on the path with dont-touch setting

attribute.

Users are able to set dont-touch setting on some specific path by using below

variables:

set slk_fix_max_cap_dont_touch_data_path true

set slk_fix_max_fanout_dont_touch_data_path true

set slk_fix_max_trans_dont_touch_data_path true

set slk_fix_noise_dont_touch_data_path true

Next step
Please disable the ability of dont-touch data path by using below variables:

set slk_fix_max_cap_dont_touch_data_path false

set slk_fix_max_fanout_dont_touch_data_path false

set slk_fix_max_trans_dont_touch_data_path false

set slk_fix_noise_dont_touch_data_path false

B122 161
Tweaker™ Blocking Codes Version T-2022.03

B123
# Blocked by don't touch net

Description
Tweaker will not allow any insertion on the net that contains "dont-touch"

attribute.

This don't touch attribute can be given by below Tweaker command:

set_dont_touch_net true -file dont_touch_net.rpt -quiet

or

set_dont_touch_net true { net_lists } -quiet

The don't touch can also be given by applying don't touch assign net:

set_dont_touch_pin true -net -netlist_assign

Next step
Remove dont-touch attibute by using below command:

set_dont_touch_net false -file dont_touch_net.rpt -quiet

or

set_dont_touch_net false { net_lists } -quiet

or

set_dont_touch_pin false -net -netlist_assign

B123 162
Tweaker™ Blocking Codes Version T-2022.03

B124
# Blocked by broken path

Description
When Tweaker detects the data inconsistency between NETLIST and violation

report, in will create a broken path within Tweaker database.

In order to generate save ECO result, by default, Tweaker will not touch

the inconsistence instance within broken path during any ECO operation.

By default, Tweaker will always take NETLIST as its golden database.

Next step
Force Tweaker to fix broken path by using below variable:

set slk_dont_touch_broken_path false

B124 163
Tweaker™ Blocking Codes Version T-2022.03

B125
# Blocked by auto-sizing level

Description
Users are allowed to control (limit) the sizing level increment or decrement

of a cell during sizing operation in Tweaker by using below command or variable:

set_slk_auto_sizing_level value [-cell cell_list]

If Tweaker tried to size a cell and exceed this limitation, Tweaker will only

swap the cell until the maximum limit while reporting this blocking message.

Next step
Set a higher value to below command or variable:

set_slk_auto_sizing_level 9999 (default: empty)

B125 164
Tweaker™ Blocking Codes Version T-2022.03

B126
# Blocked by auto-sizing area ratio

Description
Users are allowed to control (limit) the sizing area increment or decrement

of a cell during sizing operation in Tweaker by using below command:

set_slk_auto_sizing_area value [-cell cell_list]

If Tweaker tried to size a cell and exceed this limitation, Tweaker will only

swap the cell until the maximum limit while reporting this blocking message.

Next step
Set a higher value to below command or variable:

set_slk_auto_sizing_area 8 (default: empty)

B126 165
Tweaker™ Blocking Codes Version T-2022.03

B127
# Blocked by 3rd power domain restriction

Description
In Tweaker, the third power domain is domain that is not either sink's or

driver's power domain.

Hence, if the violation net is crossing this third power domain,

by default, Tweaker will not perform any insertion to this net.

This default behavior will avoid any possibility of certain low power rules

violation due to unrecognized third power domain rules.

Next step
Please enable insertion on the third power domain in Tweaker by using below

variable:

set slk_fix_allow_insertion_in_third_power_domain true

B127 166
Tweaker™ Blocking Codes Version T-2022.03

B128
# Blocked by interface(-if/-inv_if) slkfix

Description
During autofix, users are able specify if we want to fix the interface or

non-interface violations by using below command options:

slkfix [-setup | -hold | -max_trans | -max_cap | -max_fanout | -noise]

[ -if | -inv_if ]

If some paths are not within the specified fixing region, then Tweaker will

show this blocking message.

Next step
1. Please review if the remaining violations are within the expected fixing

domain (whether they are interface or non-interface path).

2. To fix all violation please use "-all" option:

slkfix [-setup | -hold | -max_trans | -max_cap | -max_fanout | -noise]

-all

B128 167
Tweaker™ Blocking Codes Version T-2022.03

B129
# Blocked by slkfix -inv_if option

Description
During autofix, users are able specify if we want to fix the non-interface

violations by using below command options:

slkfix [-setup | -hold | -max_trans | -max_cap | -max_fanout | -noise]

[ -inv_if ]

Hence the remanining interface-paths will be blocked by this blocking message.

Next step
1. Please review if the remaining violations are within the expected fixing

domain (whether they are non-interface path).

2. To fix all violation please use "-all" option:

slkfix [-setup | -hold | -max_trans | -max_cap | -max_fanout | -noise]

-all

B129 168
Tweaker™ Blocking Codes Version T-2022.03

B130
# Blocked by sizing FF only

Description
During sizing autofix, users are allowed to only allow Tweaker to size up/down

flip-flop cells by using below variable:

set slk_auto_sizing_ff_only true

Next step
Disable the auto-sizing on flip-flop only by using below variable:

set slk_auto_sizing_ff_only false

B130 169
Tweaker™ Blocking Codes Version T-2022.03

B131
# Blocked by slkfix -clock_group

Description
During autofix, users are allowed to fix the violation or optimize the

leakage number based on the twf-based clock-group by using below command:

slkfix [-setup | -hold | -max_trans | -max_cap | -max_fanout | -noise]

[-twf_clock_group_inv clock_lists]

and

slkfix -power_eco [ -twf_clock_group_inv clock_lists ]

For the violation or instance that is not within the specified twf-based

clock-domain, Tweaker will show this blocking message.

Next step
1. Please review if the remaining violations are within the expected fixing

domain (whether they are on the specifid clock domain).

2. To fix all violation please use "-all" option:

slkfix [-setup | -hold | -max_trans | -max_cap | -max_fanout | -noise]

-all

and

slkfix -power_eco

B131 170
Tweaker™ Blocking Codes Version T-2022.03

B131 171
Tweaker™ Blocking Codes Version T-2022.03

B132
# Blocked by don't touch unrecognized bus

Description
During autofix, Tweaker will not touch any unrecognized bus pin.

Next step
1. Please check the bus pin definition in its corresponding LIB, LEF,

and NETLIST.

2. Disable the dont-touch function for the unrecognized bus pin

by using below variable:

set slk_dont_touch_unrecognized_bus false

B132 172
Tweaker™ Blocking Codes Version T-2022.03

B133
# Blocked by keep one buffer for back to back

Description
During area-recovery autofix, users are able to keep at least one buffer

between a back-to-back flip-flop by using below variable:

set slk_area_recovery_keep_one_buffer_for_back_to_back true

This behavior might be needed to prevent a very-conservative derating on

the flip-flop cells.

While above variable is set to true, and if Tweaker want to delete or

by-pass the only buffer that is connected to a back-to-back path,

Tweaker will not perform the ECO operation and give this blocking message.

Next step
Disable this function by setting below variable:

set slk_area_recovery_keep_one_buffer_for_back_to_back false

B133 173
Tweaker™ Blocking Codes Version T-2022.03

B134
# Blocked by worst hold impact (minimize worst slack)

Description
During hold autofix, users are able to restrict Tweaker to perform the ECO

operation as long as it will not impact (worsen) the hold WNS by using below

variable:

set slk_fix_hold_minimize_worst_slack true

Hence, when Tweaker detects the ECO operation will worsen the hold WNS,

Tweaker will not perform the ECO operation, and will give this blocking message.

Next step
Please use below variable to disable watch hold WNS function:

set slk_fix_hold_minimize_worst_slack false

When this variable is turn to false, Tweaker will perform the ECO operation

as long as the hold NFE is improved.

B134 174
Tweaker™ Blocking Codes Version T-2022.03

B135
# Blocked by max over fixing

Description
This blocking message can be seen on two autofix scenario:

1. Delay insertion during hold autofix:

While performing delay insertion during hold autofix, Tweaker will not

allow the insertion if it is causing an over-fixed more than 200ps.

2. Bus balance:

2a. For normal bus balance, by using below command:

(without "-skew skew_value" option)

slkfix -balance ...

While reducing the delay of the longest path, Tweaker will not allow if

the post-eco arrival time is shorter than the delay of the shortest

path.

While increasing the delay of the shortest path, Tweaker will also not

allow if the post-eco arrival time is longer than the delay of the

longest path.

When the post-eco delay is exceeding above criteria, Tweaker will not

perform the ECO operation and Tweaker will give this blocking message.

2b. For bus balance with "-skew skew_value" option:

B135 175
Tweaker™ Blocking Codes Version T-2022.03

slkfix -balance -skew skew_value ...

While the post-eco skew between longest path and the shortest path is

smaller than the specified value, Tweaker will not perform the ECO

operation and Tweaker will give this blocking message.

Next step
1. Delay insertion during hold autofix:

Please give a smalller delay cell for Tweaker.

set slk_delay_insertion_buff_list { DDLAY1S1 DBFS2 DBFS3 DBFS8 }

2a. For normal bus balance (without "-skew skew_value" option):

None.

2b. For bus balance with "-skew skew_value" option:

Please give a smaller skew value.

B135 176
Tweaker™ Blocking Codes Version T-2022.03

B136
# Blocked by missing lib

Description
Tweaker cannot perform any ECO operation on the pin whose does not have any

timing arc within its corresponding LIB file since Tweaker will need those

pin's timing arc to do the delay calculation.

Next step
1. Please provide the corresponding LIB files for Tweaker.

2. Please check the timing arc of the corresponding pin in the LIB file.

B136 177
Tweaker™ Blocking Codes Version T-2022.03

B137
# Blocked by don't touch IP block pin

Description
When an instance does not have Netlist, DEF, and LIB file, it will become an

IP block in Tweaker.

By default, Tweaker will not touch the instance that is connected to the IP

block since Tweaker cannot calculate the delay due to the missing LIB file.

Next step
Please give the LIB file of the corresponding IP block.

B137 178
Tweaker™ Blocking Codes Version T-2022.03

B138
# Blocked by instance not in specified window

Description
Users are able to command Tweaker to perform the autofix within

the specified window by giving its coordinates to below command:

slkfix -add_window x1 y1 x2 y2

Hence, when Tweaker tried to touch the instance outside of

the specified window, Tweaker will give this blocking message.

Next step
Disable the window-based autofix by using below command:

slkfix -clear_window -all

B138 179
Tweaker™ Blocking Codes Version T-2022.03

B139
# Blocked by SDC constraint (NET)

Description
During by-pass or delete buffer ECO operation, if Tweaker detects the

connected net is SDC constrained net, then Tweaker will not perform the ECO

operation.

This way Tweaker can reduce the possibility of removing the SDC constrained

net.

Next step
1. Remove the constraint net in the STA session before generating Tweaker

TWF.

2. User are also able to ignore SDC constraint by not dumping out the SDC

information while generating TWF by setting below variable in the twfout.tcl

file:

set DUMP_SDC_COMP 0

twfout.tcl file is located in:

<tweaker_installation_dir>/etc/scripts/tcl/pt/twfout.tcl

B139 180
Tweaker™ Blocking Codes Version T-2022.03

B140
# Blocked by SDC constraint (PIN)

Description
During by-pass or delete buffer ECO operation, if Tweaker detects the cell

pin or the connected pin is SDC constrained net, then Tweaker will not

perform the ECO operation.

This way Tweaker can reduce the possibility of removing the SDC constrained

pin.

Next step
1. Remove the constraint pin in the STA session before generating Tweaker

TWF.

2. User are also able to ignore SDC constraint by not dumping out the SDC

information while generating TWF by setting below variable in the twfout.tcl

file:

set DUMP_SDC_COMP 0

twfout.tcl file is located in:

<tweaker_installation_dir>/etc/scripts/tcl/pt/twfout.tcl

B140 181
Tweaker™ Blocking Codes Version T-2022.03

B141
# Blocked by hold slack out of range

Description
During hack-sdf operation, user may use "-slack_range max_slack_value

min_slack_value" option to specify which slack value need to be hacked in

Tweaker.

slkfix -twf_hack_sdf [-slack_range max_slack_value min_slack_value]

While Tweaker tried to fix the hold violated path whose slack value is out

of the specified slack value range, then Tweaker will report this blocking

message.

Next step
Please give a larger slack range value:

slkfix -twf_hack_sdf [-slack_range max_slack_value min_slack_value]

B141 182
Tweaker™ Blocking Codes Version T-2022.03

B142
# Blocked by setup slack out of range

Description
During hack-sdf operation, user may use "-slack_range max_slack_value

min_slack_value" option to specify which slack value need to be hacked in

Tweaker.

slkfix -twf_hack_sdf [-slack_range max_slack_value min_slack_value]

While Tweaker tried to fix the setup violated path whose slack value is out

of the specified slack value range, then Tweaker will report this blocking message.

Next step
Please give a larger slack range value:

slkfix -twf_hack_sdf [-slack_range max_slack_value min_slack_value]

B142 183
Tweaker™ Blocking Codes Version T-2022.03

B143
# Blocked by auto-sizing driving cap ratio

Description
During sizing ECO, users are allowed to set the driving cap ratio by using

below command:

set_slk_auto_sizing_driving_cap_ratio value

If the driving cap value of the sized cell is larger than the multiple ratio

result that specified in this variable, then Tweaker will not perform the

ECO operation and report this blocking message.

Next step
Please set a larger value to below command:

set_slk_auto_sizing_driving_cap_ratio 1.1 (default: empty)

B143 184
Tweaker™ Blocking Codes Version T-2022.03

B144
# Blocked by fix DRV for specified pins only

Description
During DRV (max-trans/max-cap/noise) autofix, users are able to fix within

specific pin list by specifying them on two below variables:

set slk_fix_drv_within_pin_list pin_list

or

set slk_fix_drv_by_lib_for_pin_list lib_pin_list

Moreover, users have to set below variable to enable the pin-list-based autofix:

set slk_fix_drv_within_pin_list true

If the violated pin is not within both of the pin lists, then Tweaker will not

perform the autofix and Tweaker will report this blocking message.

Next step
1. Please put the blocked pin name into the specified list in below variable:

set slk_fix_drv_within_pin_list pin_list

or

set slk_fix_drv_by_lib_for_pin_list lib_pin_list

2. Disables the pin-list-based autofix by using below variable:

set slk_fix_drv_within_pin_list false

B144 185
Tweaker™ Blocking Codes Version T-2022.03

B144 186
Tweaker™ Blocking Codes Version T-2022.03

B145
# Blocked by vt width rule not applied

Description
Users are allowed to specify the min-vt-width rule in Tweaker by using below command:

set_min_vt_width -width value [-cell cell_name_list]

[-rule_name rule_name] [-space value] [-regexp]

[-verbose]

If Tweaker detects there's some missing min-vt-width on the cell that

Tweaker intends to touch during the autofix, then Tweaker will not perform

the eco operation, and report this blocking message.

Next step
1. Report the existing min-vt-width rule by using below variable:

report_min_vt_width_rules

2. Please apply the min-vt-width to the reported cell by using below command:

set_min_vt_width -width value [-cell cell_name_list]

[-rule_name rule_name] [-space value] [-regexp]

[-verbose]

B145 187
Tweaker™ Blocking Codes Version T-2022.03

B146
# Blocked by watch setup TNS limit

Description
During Clock-ECO, users are allowed to perform the autofix while monitoring

setup TNS by using below variable:

set slk_ftc_watch_setup_tns true

When this variable is set to true, Tweaker will not perform the ECO operation

if it will increase the overall setup TNS value.

Next step
Disable the ability to watch setup TNS by using below variable:

set slk_ftc_watch_setup_tns false

B146 188
Tweaker™ Blocking Codes Version T-2022.03

B147
# Blocked by watch setup NFE limit

Description
During Clock-ECO, users are allowed to perform the autofix while monitoring

setup NFE by using below variable:

set slk_ftc_watch_setup_nfe true

When this variable is set to true, Tweaker will not perform the ECO operation

if it will increase the overall setup NFE number.

Next step
Disable the ability to watch setup NFE by using below variable:

set slk_ftc_watch_setup_nfe false

B147 189
Tweaker™ Blocking Codes Version T-2022.03

B148
# Blocked by watch hold TNS limit

Description
During Clock-ECO, users are allowed to perform the autofix while monitoring

hold TNS by using below variable:

set slk_ftc_watch_hold_tns true

When this variable is set to true, Tweaker will not perform the ECO operation

if it will increase the overall hold TNS value.

Next step
Disable the ability to watch hold TNS by using below variable:

set slk_ftc_watch_hold_tns false

B148 190
Tweaker™ Blocking Codes Version T-2022.03

B149
# Blocked by watch hold NFE limit

Description
During Clock-ECO, users are allowed to perform the autofix while monitoring

hold NFE by using below variable:

set slk_ftc_watch_hold_nfe true

When this variable is set to true, Tweaker will not perform the ECO operation

if it will increase the overall hold NFE number.

Next step
Disable the ability to watch hold NFE by using below variable:

set slk_ftc_watch_hold_nfe false

B149 191
Tweaker™ Blocking Codes Version T-2022.03

B150
# Blocked by don't touch assign net

Description
By default, Tweaker will not touch assign net.

Set variable slk_insert_allow_touch_assign_net to true,

then Tweaker will be able to insert on the assign-net.

Next step
Disable dont-touch on the assign net by using below variable:

set_dont_touch_assign_net false

set_dont_touch_pin false -net -netlist_assign

Enable the below variable to allow Tweaker touch assign net:

set slk_insert_allow_touch_assign_net true

B150 192
Tweaker™ Blocking Codes Version T-2022.03

B151
# Blocked by congestion limit

Description
During insertion ECO, users are allowed to calculate the congestion of the

design while performing the insertion based on the congestion condition by

using below commands:

create_congestion_db [-design design_name] [-ignore_routing_blockage]

[-ignore_via] [-top_routing_layer top_layer]

[-bottom_routing_layer bottom_layer]

set slk_congestion_aware_threshold lower_ratio high_ratio

Hence, when Tweaker intend to insert ECO cell on the region with congestion

percentage larger than the specified high_ratio value, Tweaker will not

perform the ECO operation, and will report this blocking message.

Next step
1. Set a larger congestion threshold by using below variable:

set slk_congestion_aware_threshold 0.8 0.8 (default: 0.5 0.7)

2. Disable congestion aware ECO by using below variable:

set slk_congestion_aware_insertion false

B151 193
Tweaker™ Blocking Codes Version T-2022.03

B152
# Blocked by abutment rule limit

Description
While the ECO cell or existing cell is violating the abutment rule, Tweaker

will not perform the ECO operation and will report this blocking message.

Users are able to enable abutment rule by using below variable:

set enable_cell_edge_spacing_rule true

Next step
1. Perform legalization in Tweaker by using below command:

(1a) Legalization for ECO cell only:

legalize_place -range value -eco_cells

-all_placement_violation [-force]

(1b) Legalization for all instance that violating placement rule:

legalize_place -range value -all_placement_violation [-force]

2. Report the instances with violated "abutment" rule by using below command:

report_cell_edge_spacing_rule_violation [-design design_name_list]

[-eco_cell] [-vertical]

[-both] [-highlight]

[-highlight_rule_only]

B152 194
Tweaker™ Blocking Codes Version T-2022.03

[-only_rule]

B152 195
Tweaker™ Blocking Codes Version T-2022.03

B153
# Blocked by vertical abutment rule limit

Description
While the ECO cell or existing cell is violating the "vertical abutment"

rule, Tweaker will not perform the ECO operation and will report this

blocking message.

Users are able to enable "vertical abutment" rule by using below variable:

set enable_cell_edge_spacing_rule true

Next step
1. Perform legalization in Tweaker by using below command:

(1a) Legalization for ECO cell only:

legalize_place -range value -eco_cells

-all_placement_violation [-force]

(1b) Legalization for all instance that violating placement rule:

legalize_place -range value -all_placement_violation [-force]

2. Report the instances with violated "vertical abutment" rule by using

below command:

report_cell_edge_spacing_rule_violation [-design design_name_list]

[-eco_cell] [-vertical]

B153 196
Tweaker™ Blocking Codes Version T-2022.03

[-both] [-highlight]

[-highlight_rule_only]

[-only_rule]

B153 197
Tweaker™ Blocking Codes Version T-2022.03

B154
# Blocked by VT width/spacing rule limit

Description
While the ECO cell or existing cell is violating the VT-width rules, Tweaker

will not perform the ECO operation and will report this blocking message.

Users are allowed to set the VT width rule and spacing-rule by using below

commands:

Enables VT width/spacing rule in Tweaker:

(Please enable this variable before reading LEF files)

set enable_cell_width_spacing_rule true

Enables the ability to import VT width/spacing rule from LEF file into

Tweaker: (Please enable this variable before reading LEF files)

set lefin_cell_width_spacing_rule true

Setting user-defined VT width rule in Tweaker:

set_min_vt_width -width width [-cell cell_names]

[-rule_name rule_name]

[-space space] [-regexp] [-verbose]

set_min_vt_width width vt_names [-verbose]

Setting user-defined Spacing rule:

set_spacing_label_rule -labels labels -range range_list

B154 198
Tweaker™ Blocking Codes Version T-2022.03

[-verbose]

set_spacing_label_rule min max -labels labels [-verbose]

set_spacing_label_rule spacing -labels labels [-vertical]

[-verbose]

set_lib_cell_spacing_label -names names -bottom_lib_cells cells

-range max_value min_value [-regexp]

[-exception exceptions]

set_lib_cell_spacing_label -names names -left_lib_cells cells

[-regexp] [-exception exceptions]

set_lib_cell_spacing_label -names names -right_lib_cells cells

[-regexp] [-exception exceptions]

set_lib_cell_spacing_label -names names -top_lib_cells cells

-range max_value min_value [-regexp]

[-regexp] [-exception exceptions]

Next step
VT width rule:

1. Reporting VT width rule by using below command:

report_min_vt_width_rules [-cell cell_names] [-width value]

[-undefined] [-only_rule]

2. Reporting the instances with violated VT width rule by using below

command:

report_min_vt_width_violation [-design design_names] [-max value]

B154 199
Tweaker™ Blocking Codes Version T-2022.03

[-eco_cell] [-highlight]

Spacing rule:

1. Report spacing rule by using below command:

report_cell_edge_spacing_rules [-cell cell_names]

2. Report the instances with violated spacing rule by using below

command:

report_cell_edge_spacing_rule_violation [-design design_names]

[-eco_cell] [-vertical]

[-both] [-highlight]

[-highlight_rule_only]

[-only_rule]

B154 200
Tweaker™ Blocking Codes Version T-2022.03

B155
# Blocked by TPO rule limit

Description
While the ECO cell or existing cell is violating TPO rules, Tweaker will not

perform the ECO operation and will report this blocking message.

Users are allowed to set the TPO rule by using below command:

Enables TPO rule into Tweaker:

(Please enable this variable before reading LEF files)

set enable_cell_width_spacing_rule true

Enables the ability to import TPO rule from LEF file into Tweaker:

(Please enable this variable before reading LEF files)

set lefin_cell_width_spacing_rule true

Setting user-defined TPO rule in Tweaker:

set_tpo_width -width value [-cell cell_names]

[-rule_name rule_name]

[-space value] [-regexp] [-verbose]

Next step
1. Reporting TPO rule by using below command:

report_tpo_rules [-cell cell_names] [-width value] [-undefined]

B155 201
Tweaker™ Blocking Codes Version T-2022.03

[-only_rule]

2. Reporting the instances with violated TPO rule by using below command:

report_tpo_violation [-design design_names] [-max max] [-eco_cell]

[-highlight]

B155 202
Tweaker™ Blocking Codes Version T-2022.03

B156
# Blocked by VT area limit

Description
While the ECO cell or existing cell is violating VT area rules, Tweaker will

not perform the ECO operation and will report this blocking message.

Users are allowed to set the VT width rule and spacing-rule by using below

commands:

set_cell_vt_area bottom_implant top_implant -cell cell_name [-regexp]

[-silent]

Users are allowed to set the VT width rule and spacing-rule by using below

commands:

set_min_vt_area layer_names -area value

Next step
Report the instance with violated VT area rule by using below command:

report_min_vt_area_violation

B156 203
Tweaker™ Blocking Codes Version T-2022.03

B157
# Blocked by bound constraint limit

Description
If the fixing the existing cell or inserting new ECO cell will violate the

bound constraint rule, Tweaker will not perform the ECO operation, and will

report this blocking message.

Users are able to create bounds in Tweaker by using below commands:

create_bounds -dimension dimension object_list -name name

[-type hard|soft] [-exclusive] [-cycle_color]

[-color color] [-cell] [-diamond diamond]

create_bounds object_list -coordinate coordinates -name name

[-type hard|soft] [-exclusive]

[-cycle_color] [-color color] [-cell]

create_bounds object_list -name name -polygon coordinates

[-type hard|soft] [-exclusive]

[-cycle_color] [-color color] [-cell]

Next step
1. Dumping the existing bounds in the design by using below command:

dump_bounds [-design design_list]

B157 204
Tweaker™ Blocking Codes Version T-2022.03

2. Report the instances with violated bound rule by using below command:

report_bounds_violation [-design design_names] [-max value]

[-eco_cell] [-highlight]

[-highlight_rule_only]

3. Remove specific bounds by using below command:

remove_bounds -name bound_name

B157 205
Tweaker™ Blocking Codes Version T-2022.03

B158
# Blocked by filler1 rule limit

Description
While the ECO cell or existing cell is violating the filler1 rules, Tweaker

will not perform the ECO operation and will report this blocking message.

Users are able to set Tweaker to honor filler1 rule by using below variable:

set enable_no_filler1_spacing_rule true

Next step
1. Perform legalization in Tweaker by using below command:

(1a) Legalization for ECO cell only:

legalize_place -range value -eco_cells

-all_placement_violation [-force]

(1b) Legalization for all instance that violating placement rule:

legalize_place -range value -all_placement_violation [-force]

2. Report the instances with violated filler1 rule by using below command:

report_filler1_spacing_violation [-design design_name_list]

[-max max] [-eco_cell] [-highlight]

B158 206
Tweaker™ Blocking Codes Version T-2022.03

B159
# Blocked by cell/instance padding limit

Description
While the ECO cell or existing cell is violating cell or instance padding

rules, Tweaker will not perform the ECO operation and will report this

blocking message.

Users are able to control cell-padding rule by using below usage:

Enable cell-padding rule in Tweaker:

set enable_cell_padding true

Load cell-padding rule from a file:

load_padding_list [-cell cell_file] [-instance instance_file]

Apply user-defined cell-padding rule in Tweaker:

set_cell_padding cell_name_list [-width width] [-height height]

set_cell_padding cell_name_list [-left] [-right] [-bottom] [-top]

set_cell_padding cell_name_list -outer left bottom right top

Users are able to control instance-padding rule by using below usage:

Enable instance-padding rule in Tweaker:

set enable_instance_padding true

Load cell-padding rule from a file:

load_padding_list [-instance instance_file] [-cell cell_file]

B159 207
Tweaker™ Blocking Codes Version T-2022.03

Apply user-defined instance-padding rule in Tweaker:

set_instance_padding instance_list -factor factor_value

set_instance_padding instance_list [-width width] [-height height]

set_instance_padding instance_list [-left] [-right] [-bottom] [-top]

set_instance_padding instance_list -outer left bottom right top

In Tweaker, instance padding is equivalent to DEF HALO. Tweaker

translate instance HALO to instance padding.

Next step
1. Reporting the instances with violated padding rule by using below

command:

report_cell_padding cell_name_list

report_instance_padding instance_name_list

2. Disable cell-padding rule:

set enable_cell_padding false

3. Disable instance-padding rule:

set enable_instance_padding false

4. Update cell-padding rule:

set_cell_padding

5. Update instance-padding rule:

set_instance_padding

B159 208
Tweaker™ Blocking Codes Version T-2022.03

B160
# Blocked by don't touch net routing

Description
If the ECO operation will be done on the net-routing that has dont-touch

attribute, then Tweaker will not perform the ECO operation, and will report

this blocking message.

Users are able to set a dont-touch attribute for some specific net routing

by using below commands:

set_dont_touch_net_routing [true | false] -file filename [-flatten]

[-quiet]

set_dont_touch_net_routing [true | false] net_name_list [-flatten]

[-quiet]

Next step
Reports dont-touch net routing by using below command:

report_dont_touch_net_routing

B160 209
Tweaker™ Blocking Codes Version T-2022.03

B161
# Blocked by driving DRV fail

Description
During DRV fixing, Tweaker will check the post-ECO DRV value of the driver

pin. When the DRV value is exceeding the constrained DRV value, Tweaker will

not perform the ECO operation and will report this blocking message.

Users are allowed to set the DRV constraint in Tweaker by using below

commands:

set_drv_factor value [-cell cell_list] [-corner corner_list] [-verbose]

[-unconstraint_pins]

set_drv_value value [-cell cell_list] [-corner corner_list] [-verbose]

[-unconstraint_pins]

set slk_twf_clock_drv_factor value (default: 0.95)

Next step
1. Disable all DRV checking:

set slk_ignore_drv true

2. Disable DRV checking during sizing ECO:

set slk_fix_drv_by_sizing_ignore_driving_drv true

3. Disable clock-based DRV checking:

B161 210
Tweaker™ Blocking Codes Version T-2022.03

set slk_fix_watch_twf_clock_drv false

4. Check DRV setting by using below commands:

report_drv_factor

report_drv_value

B161 211
Tweaker™ Blocking Codes Version T-2022.03

B162
# Blocked by cell pin overlapped power strap

Description
While the ECO cell or existing cell is violating the "vertical/cell pin and

power strap non-overlapping" rule, Tweaker will not perform the ECO

operation and will report this blocking message.

Users are able to enable the "vertical/cell pin and power strap non-

overlapping" rule by using below variable:

set vertical_pin_avoid_power_strap true

set cell_pin_avoid_power_strap <all | metal_layer>

Next step
1. Perform legalization in Tweaker by using below command:

(1a) Legalization for ECO cell only:

legalize_place -range value -eco_cells

-all_placement_violation [-force]

(1b) Legalization for all instance that violating placement rule:

legalize_place -range value -all_placement_violation [-force]

2. Report the instances with violated "vertical/cell pin and power strap

non-overlapping" rule by using below command:

B162 212
Tweaker™ Blocking Codes Version T-2022.03

report_vertical_pin_power_strap_violation [-design design_name_list]

[-max value] [-eco_cell]

[-highlight]

[-highlight_rule_only]

report_cell_pin_avoid_power_strap_violation [-design design_name_list]

[-max value] [-eco_cell]

[-highlight]

[-highlight_rule_only]

B162 213
Tweaker™ Blocking Codes Version T-2022.03

B163
# Blocked by cell pin track color mismatch

Description
While the ECO cell or existing cell is violating the "vertical/cell pin and

colored track non-overlapping" rule, Tweaker will not perform the ECO

operation and will report this blocking message.

Users are able to enable the "vertical/cell pin and colored track non-

overlapping" rule by using below variable:

set vertical_pin_track_color_aware true

set pin_track_color_aware_by_layer metal_layer

Next step
1. Perform legalization in Tweaker by using below command:

(1a) Legalization for ECO cell only:

legalize_place -range value -eco_cells

-all_placement_violation [-force]

(1b) Legalization for all instance that violating placement rule:

legalize_place -range value -all_placement_violation [-force]

2. Report the instances with violated "vertical/cell pin and power strap

non-overlapping" rule by using below command:

B163 214
Tweaker™ Blocking Codes Version T-2022.03

report_vertical_pin_power_strap_violation [-design design_name_list]

[-max value] [-eco_cell]

[-highlight]

[-highlight_rule_only]

report_cell_pin_avoid_power_strap_violation [-design design_name_list]

[-max value] [-eco_cell]

[-highlight]

[-highlight_rule_only]

B163 215
Tweaker™ Blocking Codes Version T-2022.03

B164
# Blocked by missing DEF attribute COMPONENTMASKSHIFT

Description
While the ECO cell or existing cell is missing the COMPONENTMASKSHIFT

attribute rules, Tweaker will not perform the ECO operation and will report

this blocking message.

Next step
Please check the COMPONENTMASKSHIFT attribute in the corresponding DEF file.

B164 216
Tweaker™ Blocking Codes Version T-2022.03

B165
# Blocked by routing data not ready

Description
During on-route buffer insertion, if the DEF file does not have the routing

information, then Tweaker will not perform the insertion operation, and will

report this blocking message.

Users are able to enable the on-route buffer insertion by using below

variable:

set slk_on_route_buffer_insertion true

Next step
1. Please check the routing data in the DEF file.

2. If the outing data is already existed in the corresponding DEF file,

please use "-route" option in the "defin" command:

defin -route "top.def"

3. Disable on-route buffer insertion by using below variable:

set slk_on_route_buffer_insertion false

B165 217
Tweaker™ Blocking Codes Version T-2022.03

B166
# Blocked by symmetry limit

Description
By default, Tweaker will honor the "SYMMETRY" definition in LEF file. If LEF

file is missing this "SYMMETRY" information, then Tweaker will automatically

set the default value as "X Y R90".

Symmetry default setting in Tweaker can be defined by using below variable:

set lef_default_symmetry "X Y R90"

Hence, when LEF is missing SYMMETRY information, and above variable is set

to an empty value, Tweaker will report this blocking message when Tweaker

tried to use/touch the instance with the missing SYMMETRY information.

Next step
1. Please provide a proper LEF file that contains SYMMETRY information.

2. Set the default value of SYMMETRY for those cell that is missing the

information from LEF file by using below variable:

set lef_default_symmetry symmetry_value (default: X Y R90)

3. Set SYMMETRY value for some specific cell type by using below command:

set_libcell_symmetry -cell cell_type_names [-type symmetry_value]

(allowed symmetry value: X, Y, and/or R90)

B166 218
Tweaker™ Blocking Codes Version T-2022.03

4. Report SYMMETRY of specific cell type by using below command:

get_libcell_symmetry -cell cell_type_names

B166 219
Tweaker™ Blocking Codes Version T-2022.03

B167
# Blocked by disable net port

Description
By default, Tweaker will not allow the insertion operation if it will force

Tweaker to create a module or design port.

Next step
N/A

B167 220
Tweaker™ Blocking Codes Version T-2022.03

B168
# Blocked by dont touch macro pin which undefined always on attribute

Description
When the driver of the net is connected to an always-on cell, meanwhile the

sink pin is a MACRO's pin, Tweaker will need to check the "always-on"

attribute of the sink (MACRO) pin.

Tweaker will not insert on the net that is connected to the MACRO pin which

don't have either "always-on" nor "non-always-on" attribute.

This insertion operation is tightly connected to the Power Domain definition

of the design.

Next step
Specify the "always-on" or "non-always-on" attribute on the MACRO's pin by

using below command:

set_always_on_pin [true|false] -cell cell_name_list -pin pin_name_list

[-quiet]

B168 221
Tweaker™ Blocking Codes Version T-2022.03

B169
# Blocked by hack setup min cell delay

Description
During Hack-SDF operation, Tweaker is unable to fix the path even with the

smallest allowed delay cell.

User are able to set the smallest allowed delay cell by using below

variable:

set slk_hack_setup_min_cell_delay value (default: 0.02)

Next step
Please set a smaller value to below variable:

set slk_hack_setup_min_cell_delay 0

B169 222
Tweaker™ Blocking Codes Version T-2022.03

B170
# Blocked by don't touch complex cell

Description
The listed cell is a complex cell which are not allowed to be touch in

Tweaker by user.

In Tweaker, complex cell is the cell with more than one output pin, except

for registers cell.

Next step
Please allow Tweaker to touch the complex cell:

set slk_power_eco_dont_touch_complex_cell false

B170 223
Tweaker™ Blocking Codes Version T-2022.03

B171
# Blocked by max fanout value is not defined in lib

Description
During max-fanout autofix, Tweaker will not perform the autofix if the

violated pin doesn't have the max-fanout value defined in the LIB file.

Next step
1. Please set the max-fanout value of the pin by using below Tweaker

command:

set_max_fanout value -[design|port] { design_name | port_name }

2. Please provide the LIB with proper max-fanout value.

B171 224
Tweaker™ Blocking Codes Version T-2022.03

B172
# Blocked by insufficient routing access points on std cell (metal1) pin

Description
For advanced features of 10nm or below, Tweaker will need to calculate the

routing access point of each std cells (including new ECO cells).

Users are able to set this routing access point rule by using below

variable:

set metal1_pin_access_point_min_count value

When there's no enough accessible routing point then Tweaker will give this

blocking message.

Next step
1. Please give a different candidate cells during sizing / insertion

operation.

2. Set a larger value to below free space searching variables:

(a) Sizing operation:

set slk_auto_sizing_max_shift_distance value

(b) Insertion operation:

set slk_give_up_insert_buf_distance value

3. Set a smaller number to below variable:

B172 225
Tweaker™ Blocking Codes Version T-2022.03

set metal1_pin_access_point_min_count 2

The value on above variable should be the matching with those in the PNR

tool.

4. Please use below command to report the routing access point rule

violation in Tweaker:

report_metal1_pin_access_point_violation -max 1000 -highlight -eco_cell

B172 226
Tweaker™ Blocking Codes Version T-2022.03

B173
# Blocked by incorrect DEF file

Description
These are blocked by incorrect DEF File.

Please check the DEF quality Checks.

Next step
(1) Please check the DEF quality Checks using below command:

check_def_quality

(2) If you want to do non-physical aware ECO, you can enable

the following variable.

set non_physical_aware_eco true

B173 227
Tweaker™ Blocking Codes Version T-2022.03

B174
# Blocked by fix dynamic power without sizing up

Description
By default, Tweaker does not allow sizing up during dynamic power eco.

Next step
If we allow cell sizing up to improve dynamic power, please enable

below variable:

set slk_fix_dynamic_power_without_sizing_up false

B174 228
Tweaker™ Blocking Codes Version T-2022.03

B175
# Blocked by fix dynamic power watch leakage power

Description
Tweaker does not allow leakage impact while dynamic power optimization.

Next step
If we allow leakage impact to improve dynamic power further, Please enable

below variable:

set slk_fix_dynamic_power_watch_leakage_power false

B175 229
Tweaker™ Blocking Codes Version T-2022.03

B176
# Blocked by disable si net sink cell

Description
Tweaker doesn't perform ECO on the cells/paths where sink cells have SI impact.

Next step
We can turn off this variable after setting below variable.

set slk_auto_sizing_disable_si_net_sink_cell true

B176 230
Tweaker™ Blocking Codes Version T-2022.03

B177
# Blocked by bad variation

Description
Some cells have similar delay value in min corner but large difference in max corner.

Tweaker can extract setup margin by improving the cell variation further.

If the cell variation is bad which affects setup counter parts, Tweaker does not allow.

Next step
set slk_fix_hold_by_reduce_variation true

B177 231
Tweaker™ Blocking Codes Version T-2022.03

B178
# Blocked by space limit in gate array mode

Description
In metal ECO (Gate array mode), this violation is not fixed because unused

cell is not available in the specified region.

Next step
Please check the following command. The next step should be the same with

the normal space limit (Ex: B040)

(a) Sizing operation:

set slk_auto_fix_fit_to_free_space false

(b) Shifting distance during sizing operation:

set slk_auto_sizing_max_shift_distance 50 (default:10um)

B178 232
Tweaker™ Blocking Codes Version T-2022.03

B179
# Blocked by located in clock path

Description
Tweaker detects "clock component" attributes by back-tracing all non "CK"

pins. By default, Tweaker does not touch the cells in clock path.

Next step
By default, Tweaker will not touch clock components during fixing setup and

hold violations.

If user want to touch clock path by regular cell, please enable below

variable:

set slk_fix_dont_touch_clock_comp false

B179 233
Tweaker™ Blocking Codes Version T-2022.03

B180
# Blocked by don't use cell by supply set

Description
In one power domain, there are many different supply sets and each of them

has their own always on cell.

Next step
The supply set power domain information is from upf. So, user has to check

the settings in upf file.

B180 234
Tweaker™ Blocking Codes Version T-2022.03

B181
# Blocked by don't touch missing lib cone

Description
If lib arc is not available, entire path will be blocked for fixing.

Next step
Please make sure all required libraries have been provided to Tweaker

If user would like to touch cell which has missing lib, please use below

variable:

set slk_dont_touch_missing_lib_cone false

B181 235
Tweaker™ Blocking Codes Version T-2022.03

B182
# Blocked by incorrect dynamic power corner

Description
For dynamic power calculation, one reference corner is necessary.

The corner database includes lib, rc, sdf, twf and derating setting. Not

only lib group, but also rc and timing info are required for internal power

and switching power updating.

The corner is strongly recommended to be the same major corner as one in

user's power analysis tools.

Next step
1. Please check current dynamic power corner.

printvar slk_dynamic_power_corner

2. Please check existing corner list.

printvar slk_corner_list

If the dynamic power corner is built, please set it up.

For example:

set slk_dynamic_power_corner TT_25C_NORMV_RCTYP_FUNC

If the dynamic power corner is not exising in corner list, please prepare

database, build the corner and re-run Tweaker.

B182 236
Tweaker™ Blocking Codes Version T-2022.03

B182 237
Tweaker™ Blocking Codes Version T-2022.03

B183
# Blocked by area recovery fix internal power only

Description
Normally Apply removing redundant buffers/inverter pairs for internal power

optimization. But this blocking code showing remove those cells can't

improve internal power saving.

Next step
Please set the below variable to control area recovery with removing

internal power detected cell only.

set slk_area_recovery_fix_internal_power_only true

B183 238
Tweaker™ Blocking Codes Version T-2022.03

B184
# Blocked by on-route enabled (HBI)

Description
Tweaker does not support autofix during HBI (regular hold buffer/delay

insertion without any grouping) when "on-route buffer insertion" function is

enabled

Next step
1. Make sure HFI algorithm is turned on while fixing hold by delay

insertion:

set slk_fix_hold_by_high_fanout_insertion true

2. Or try to set larger distance range for on route search:

set slk_on_route_search_range <greater than previous value>

3. Turn off the on-route buffer insertion feature:

set slk_on_route_buffer_insertion false

B184 239
Tweaker™ Blocking Codes Version T-2022.03

B185
# Blocked by instance cross power domain

Description
Since the instance in multi physical power domain at same time, please check

power domain definition from cpf/upf.

Next step
Check physical power domain of design and correct the physical power domain

definition, the following Tweaker's command is used to check power domain

quality (logical and physical power domain).

[ CMD ] check_power_domain_placement -force_check

B185 240
Tweaker™ Blocking Codes Version T-2022.03

B186
# Blocked by HFTS fanout threshold.

Description
Tweaker will not fix drv by HFTS if the net fanout is smaller than the

threshold fanout count. HFTS focus on high fanout nets and use tree

synthesis to split the loading.

Users are able to set the HFTS fanout threshold by using below internal.

variable:

set slk_hfts_auto_threshold 32 (default: 32)

Next step
1. Turn off HFTS to use HFS methodology for ECO:

set slk_fix_drv_by_hfts false

2. Relax the fanout threshold setting:

set slk_hfts_auto_threshold 16

B186 241
Tweaker™ Blocking Codes Version T-2022.03

B187
# B187 Blocked by using non-gate-array cell in gate array mode.

Description
If user sets incorrect gate array setting in Metal-ECO mode, then Tweaker

will not perform the eco operation, and report this blocking message.

Next step
1. Check gate array setting correctness.

report_gate_array_cells

clear_gate_array_setting

set_gate_array -cell ...

-body ...

[-filter grid_num] [-regexp] [-verbose]

Example:

set_gate_aray -body DCAP* -cell GA*

B187 242
Tweaker™ Blocking Codes Version T-2022.03

B188
# Blocked by internal power larger than setting

Description
If the internal power of cell less than the specified internal power value,

then Tweaker will try to reduce these cells internal power during Internal

power ECO (Sizing).

Next step
Lower value means Tweaker has more chance to reduces internal power

B188 243
Tweaker™ Blocking Codes Version T-2022.03

B189
# Blocked by switching power larger than setting

Description
If the switching power of cell less than the specified switching power

value, then Tweaker will try to reduce these cell switching power during

Switching power ECO (Sizing).

Next step
Lower value means Tweaker has more chance to reduces switching power

B189 244
Tweaker™ Blocking Codes Version T-2022.03

B190
# Blocked by watching vt ratio

Description
When enabled "slk_fix_watch_vt_ratio", Tweaker's Eco will watch vt ratio

influence where its purpose is to honor the user-specified vt ratio target.

The available vt types are defined at "slk_vt_cell_naming".

The target vt ratio is defined at "slk_vt_ratio_target".

The tolerance of vt ratio difference is defined at "slk_vt_ratio_tolerance".

The type of vt ratio index is defined at "slk_vt_ratio_type", which can be

"count" or "area".

Next step
1. disable watch vt ratio

set slk_fix_watch_vt_ratio false

2. enlarge tolerance

set slk_vt_ratio_tolerance 0.01 0.03 0.05

=>

set slk_vt_ratio_tolerance 0.1 0.1 0.1

3. enlarge ratio for specified vt group

set slk_vt_ratio_target 0.25 0.4 0.35

B190 245
Tweaker™ Blocking Codes Version T-2022.03

=>

set slk_vt_ratio_target 0.4 0.4 0.2

B190 246
Tweaker™ Blocking Codes Version T-2022.03

B191
# B191 Blocked by Moving ECO flow disallow overlapping cell.

Description
Moving methodology in switching power ECO flow needs legal space for ECO

cells.

Next step
1. Check placement setting for ECO cells.

set slk_auto_fix_fit_to_free_space true

B191 247
Tweaker™ Blocking Codes Version T-2022.03

B192
# Blocked by watch switching power

Description
Tweaker will monitor switching power during Dynamic Power ECO by the

variable "slk_fix_dynamic_power_watch_switching_power". If disabled

the variable, Tweaker is able to do as much ECOs as possible.

For Dynamic Power ECO fixing Switching Power, users are recommended to set

this variable to true to avoid switching power impact.

Next step
Don't watch switching power during Dynamic Power ECO

set slk_fix_dynamic_power_watch_switching_power false

B192 248
Tweaker™ Blocking Codes Version T-2022.03

B193
# Blocked by via0 alignment

Description
This is physical design rule "via0 alignment".

If you allow physical DRC violation during ECO, you can disable the variable

"enable_via0_alignment". Tweaker will ignore checking "via0 alignment" rule.

Next step
Don't check the "via0 alignment" rule, if you allow

set enable_via0_alignment false

B193 249
Tweaker™ Blocking Codes Version T-2022.03

B194
# Blocked by pin not defined in LEF

Description
Tweaker try to "ECO" on the instance or pin, but the pin of instance or pin

that are not defined in LEF, also Tweaker can't "ECO" the instance or pin.

Next step
Check the master's LEF quality and refire the Tweaker uses the quality input

data.

B194 250
Tweaker™ Blocking Codes Version T-2022.03

B195
# Blocked by input pin max fanout limit

Description
If the input pins of instance #fanouts > specified value

"slk_auto_sizing_max_fanout_limit", the variable will forbid Tweaker to

touch the instance.

Next step
Enlarge the "slk_auto_sizing_max_fanout_limit"

set slk_auto_sizing_max_fanout_limit 32

=>

set slk_auto_sizing_max_fanout_limit 64

B195 251
Tweaker™ Blocking Codes Version T-2022.03

B196
# Blocked by output pin max fanout limit

Description
If the output pins of instance #fanouts > specified value

"slk_auto_sizing_max_fanout_limit", the variable will forbid Tweaker to

touch the instance.

Next step
Enlarge the "slk_auto_sizing_max_fanout_limit"

set slk_auto_sizing_max_fanout_limit 32

=>

set slk_auto_sizing_max_fanout_limit 64

B196 252
Tweaker™ Blocking Codes Version T-2022.03

B197
# Blocked by hack clock min cell delay

Description
During hack clock cell delay ECO, if the delay of instance is equal to the

smallest cell delay ("slk_hack_clock_min_cell_delay"), means no any other

sizing candidate can improved the cell delay.

Next step
N/A

B197 253
Tweaker™ Blocking Codes Version T-2022.03

B198
# Blocked by hack delay out of adjust range

Description
During hack clock cell delay ECO. If the delay of instance concussion

between the range "slk_slack_adjust_range", then Tweaker can sizing the

instance. If the delay of instance concussion out of the range, means

Tweaker can't size the instance.

Next step
Enlarge the range

set slk_slack_adjust_range -0.1 0.1 (unit: ns)

=>

set slk_slack_adjust_range -0.2 0.2 (unit: ns)

B198 254
Tweaker™ Blocking Codes Version T-2022.03

B199
# Blocked by moving congestion limit

Description
During insertion ECO, users are allowed to calculate the congestion of the

design while performing the insertion based on the congestion condition by

using below commands:

create_congestion_db [-design design_name] [-ignore_routing_blockage]

[-ignore_via] [-top_routing_layer top_layer]

[-bottom_routing_layer bottom_layer]

set slk_congestion_aware_threshold lower_ratio high_ratio

Consequently, if Tweaker move ECO cell on the region with congestion

percentage larger than the specified high_ratio value, Tweaker will not

perform the ECO operation, and will report this blocking message.

Next step
1. Enlarge the thresholds of variable:

set slk_congestion_aware_threshold 0.5 0.8

=>

set slk_congestion_aware_threshold 0.7 0.9

2. Disable moving congestion aware ECO by using below variable:

B199 255
Tweaker™ Blocking Codes Version T-2022.03

set slk_congestion_aware_moving false

B199 256
Tweaker™ Blocking Codes Version T-2022.03

B200
# B200 Blocked by setup trans/delay sampling target

Description
Tweaker will not try to insert on the net since the net is not considered

as an ECO candidate net due to the driver transition and the cell delay is

better than the average value.

Next step
Increase the value below:

set slk_fix_setup_repeater_insertion_factor 0.4 (default: 0.2)

B200 257
Tweaker™ Blocking Codes Version T-2022.03

B201
# Blocked by trans value is already < slk_fix_setup_max_trans_drv

Description
If the variable "slk_fix_setup_max_trans_drv" is specified, Tweaker will

take this absolute value as consideration instead of comparing it to DRV

factor only during the setup autofix.

Next step
Empty the value, let Tweaker check drv by "set_drv_factor" or

"set_drv_value"

set slk_fix_setup_max_trans_drv ""

B201 258
Tweaker™ Blocking Codes Version T-2022.03

B202
# Blocked by gate array mode not enabled.

Description
During metal eco operation, if the metal eco mode is disabled, you set the

gate array cell and use it for sizing candidate. We will block this ECO

operation.

Next step
Please enable metal eco mode:

set metal_eco_mode true

B202 259
Tweaker™ Blocking Codes Version T-2022.03

B203
# Blocked by libcell unit site isn't defined in LEF

Description
During ECO operation, if the candidate cell isn't defined the 'SITE' token

in LEF, we will block this eco operation.

Next step
Please check the violation candidate cell LEF 'SITE' token.

B203 260
Tweaker™ Blocking Codes Version T-2022.03

B204
# Blocked by slk_vmin_cell_list is empty

Description
Tweaker Vmin ECO need to specify worse variation PVT cell type. Tweaker will

change instances from Vmin cell type to other and also extract the setup

timing margin.

Next step
Please specify Vmin cell list for Vmin ECO:

set slk_vmin_cell_list { *HVT* *D0 }

B204 261
Tweaker™ Blocking Codes Version T-2022.03

B205
# Blocked by slk_vmin_cell_list not match

Description
The slk_vmin_cell_list can't match any cell by specifying pattern.

Next step
Please specify correct Vmin cell list pattern for Vmin ECO:

set slk_vmin_cell_list { *HVT* *D0 }

B205 262
Tweaker™ Blocking Codes Version T-2022.03

B206
# Blocked by cell type is not defined in slk_vmin_cell_list

Description
This cell isn't defined by vmin cell list. Tweaker will change instances

from Vmin cell type to other and also extract the setup timing margin.

Next step
Please specify Vmin cell list for Vmin ECO:

set slk_vmin_cell_list { *HVT* *D0 }

B206 263
Tweaker™ Blocking Codes Version T-2022.03

B207
# Blocked by max shift distance

Description
During sizing operation, if the candidate has already found out the free

space to sizing up the cell, the shift distance isn't enough for allowing

this ECO operation. users are able to give the allowed shifting distance by

using the following variable:

set slk_auto_sizing_max_shift_distance value (default: 10 um)

Next step
1. Please give a larger shifting, search range, or preferred wire length by

setting a larger value to below variables:

(a) Shifting distance during sizing operation:

set slk_auto_sizing_max_shift_distance 50 (default: 10um)

2. Disable physical aware by using below variables:

(a) Sizing operation:

set slk_auto_fix_fit_to_free_space false

B207 264
Tweaker™ Blocking Codes Version T-2022.03

B208
# Blocked by neighbor cells overlapping

Description
During ECO operation, if the candidate cell sizing or insertion target free

space neighbor cell has the overlapping issue, we will block this ECO

operation.

Next step
Please use the following command to check overlapping issue:

check_overlapped_cells

B208 265
Tweaker™ Blocking Codes Version T-2022.03

B209
# Blocked by fix dynamic power threshold

Description
For vectorless flow, Tweaker will use the following variable to control

dynamic power ECO only focus on specifying the worst dynamic power

percentage of candidates.

set slk_fix_dynamic_power_threshold 0.1 (default: 1.0)

Next step
Relax the dynamic power threshold setting:

set slk_fix_dynamic_power_threshold 0.8

B209 266
Tweaker™ Blocking Codes Version T-2022.03

B210
# Blocked by cell without vt data in LEF

Description
During ECO operation, if the candidate cell isn't defined the vt layer

information, but this cell enables vt rule check. We will block this eco

operation.

Next step
1. Please check the violation candidate cell LEF vt layer definition.

2. Tweaker can use the following variable to enable the ECO still can sizing

cell to undefine VT layer cell.

set slk_ignore_vt_rule_checking_for_undefined_cell false

3. Tweaker can use the following variable to disable VT and TPO rule

checking.

set enable_cell_width_spacing_rule false

B210 267
Tweaker™ Blocking Codes Version T-2022.03

B211
# Blocked by unknown physical rule.

Description
During ECO operation, if the candidate cell has the unexpected physical rule

violation, we will block this ECO operation.

Next step
Please contact Dorado Tweaker AE.

B211 268
Tweaker™ Blocking Codes Version T-2022.03

B212
# B212 Blocked by routing length is shorter than the lower bound of preferred

distance

Description
Tweaker will insert cell at the lower bound of preferred distance when

enable slk_fix_hold_at_sink_pin_only and

slk_fix_hold_at_sink_pin_by_distance. If detail routing length of net is

shorter than the lower bound of preferred distance. Tweaker can't insert

cell at preferred distance along the routing pattern, the blocking code will

show up.

Next step
1. reduce the lower bound of preferred distance

set slk_preferred_delay_cell_distance_range 1 9999

2. disable insert cell at sink pink only

set slk_fix_hold_at_sink_pin_only false

3. disable insert cell at sink pink by distance

set slk_fix_hold_at_sink_pin_by_distance false

B212 269
Tweaker™ Blocking Codes Version T-2022.03

B213
# B213 Blocked by don't touch net routing

Description
Tweaker will not allow any ECO to touch net routing which contains "dont-

touch" attribute.

This don't touch net routing attribute can be given by below Tweaker

command:

set_dont_touch_net_routing true -file dont_touch_net.rpt -quiet

or

set_dont_touch_net_routing true { net_lists } -quiet

Next step
1. Use the following command to find out the dont touch command source

report_dont_touch_apply_from hier_net_name

2. Disable dont touch net routing setting

set_dont_touch_net_routing false hier_net_name

B213 270
Tweaker™ Blocking Codes Version T-2022.03

B214
# B214 Blocked by lack of spare cell

Description
In metal ECO flow, if we don't have spare cell sizing or insertion candidate

in the available range, we will block this ECO operation.

For sizing operation, users are able to give the allowed shifting distance

by using below variable:

set slk_auto_sizing_max_shift_distance value (default: 10 um)

For insertion operation, users are able to give the free-space search range

by using below variable:

set slk_give_up_insert_buf_distance value (default: 50 um)

In addition, for insertion operation, users are also able to give the

preferred wire length of the net that is connected to the ECO cell by using

below variable:

set slk_preferred_delay_cell_distance_range lower_bound upper_bound

(default: 6 9999)

User also able to limit the resources searching-window during Metal ECO mode

or free-space searching window by using below command:

set_resource_window [-add_window|-clear_window] x1 y1 x2 y2

B214 271
Tweaker™ Blocking Codes Version T-2022.03

Next step
1. Use the following command to check spare cell list.

If the spare list is wrong, please use the eco command to reset the spare list.

Dump spare list command:

eco -dump_spare_list

Reset spare cell command:

eco -spare_module module_name

#eco -spare_inst -auto_aggressive

#eco -spare_inst spare_prefix

2. Please give a larger shifting, search range, or preferred wire length by

setting a larger value to below variables:

(a) Shifting distance during sizing operation:

set slk_auto_sizing_max_shift_distance 50 (default: 10um)

(b) Search range during normal insertion operation:

set slk_give_up_insert_buf_distance 100 (default: 50um)

(c) Search range during on-route buffer insertion operation:

set slk_on_route_search_range 20 (default: 5*cell height)

(d) Give a bigger value to the upper bound of preferred wire length during

insertion operation:

set slk_preferred_delay_cell_distance_range 6 9999 (default: 6 9999)

3. Disable the free-space or resources searching-window:

set_resource_window -clear_window -all

B214 272
Tweaker™ Blocking Codes Version T-2022.03

4. Disable physical aware by using below variables:

(a) Sizing operation:

set slk_auto_fix_fit_to_free_space false

(b) Insertion operation:

set slk_auto_fix_fit_to_free_space false

set slk_give_up_insert_if_no_space false

set slk_give_up_insert_buf_distance 9999

B214 273
Tweaker™ Blocking Codes Version T-2022.03

B215
# B215 Blocked by RC quality.

Description
Tweaker will not try to do auto fix on the net which have incorrect RC

information.

Next step
1. Please check your spef read correctly

ex.

[ Error ] set_group -name BEST.100, group name is not found.

2. Please check your spef quality in Tweaker log

ex.

rc corner(WORST.10) check spef quality: spef error count(0) total(1000)

rc corner(BEST.100) check spef quality: spef error count(1000) total(1000)

B215 274
Tweaker™ Blocking Codes Version T-2022.03

B216
# Blocked by Power Eco watch unexpected setup margin

Description
During power ECO, if the setup margin is better than the original cell, we

will block those kinds of ECO operation.

Next step
Please contact Dorado Tweaker AE.

B216 275
Tweaker™ Blocking Codes Version T-2022.03

B217
# Blocked by routing path congestion limit

Description
During insertion ECO, users are allowed to calculate the congestion of the

design while performing the insertion based on the congestion condition by

using below commands:

create_congestion_db [-design design_name] [-ignore_routing_blockage]

[-ignore_via] [-top_routing_layer top_layer]

[-bottom_routing_layer bottom_layer]

set slk_congestion_aware_threshold lower_ratio high_ratio

Consequently, if Tweaker insert ECO cell corresponding routing path region

with congestion percentage larger than the specified high_ratio value,

Tweaker will not perform the ECO operation, and will report this blocking

message.

Next step
1. Set a larger congestion threshold by using below variable:

set slk_congestion_aware_threshold 0.8 (default: 0.5 0.7)

2. Disable congestion aware ECO by using below variable:

set slk_insert_enable_routing_path_congestion_aware false

B217 276
Tweaker™ Blocking Codes Version T-2022.03

B217 277
Tweaker™ Blocking Codes Version T-2022.03

B218
# Blocked by performing split-cell on single fanout net

Description
Tweaker will dump this blocking message when user is performing split-cell

ECO operation on the single fanout net, since there is no sink to be

splited.

Next step
N/A

B218 278
Tweaker™ Blocking Codes Version T-2022.03

B219
# Blocked by partial blockage

Description
Durning ECO operations Tweaker will not eco on the cells if it's inside

partial blockage and exceed the partial blockage density limit after eco.

Next step
set enable_partial_blockages false

B219 279
Tweaker™ Blocking Codes Version T-2022.03

B220
# Blocked by inst internal power impact

Description
Tweaker will monitor internal power during Dynamic Power ECO by the variable

"slk_fix_dynamic_power_watch_internal_power". If disabled this variable,

Tweaker is able to do as much ECOs as possible but will possible impact

internal power.

For Dynamic Power ECO fixing Switching Power, users are recommended to set

this variable to true to avoid internal power impact.

Next step
Don't watch internal power during Dynamic Power ECO

set slk_fix_dynamic_power_watch_internal_power false

B220 280
Tweaker™ Blocking Codes Version T-2022.03

B221
# Blocked by hfi use same always on cell

Description
During ECO operation, if we don't have any always on cell candidate can

reference from driver or sink, we will block this ECO operation.

Next step
Relax the following variable setting:

set slk_hfi_use_same_always_on_cell false (default: false)

B221 281
Tweaker™ Blocking Codes Version T-2022.03

B222
# Blocked by insertion on assign net is not allowed

Description
By default, Tweaker will not insert buffers on assign nets.

Next step
Enable the below variable to allow Tweaker touch assign net:

set slk_insert_allow_touch_assign_net true

B222 282
Tweaker™ Blocking Codes Version T-2022.03

B223
# Blocked by fix dynamic power without sizing down

Description
If slk_fix_dynamic_power_without_sizing_down set to true. Tweaker will not

allow any sizing-down operation when dynamic power autofix.

Next step
Please set enable sizing down operation by using corresponding variables

below:

set slk_fix_dynamic_power_without_sizing_down false

B223 283
Tweaker™ Blocking Codes Version T-2022.03

B224
# Blocked by slack domain not created

Description
In the hack sdf by twf flow, we must be creating twf hack sdf domain based

on the "eco domain's target slks" and setting the fixing targets as "hack

sdf's target slks".

If the pin's twf is great than the "eco domain's target slks" and less than

the "hack sdf's target slks", Tweaker will show the blocking code.

Next step
Please align "hack sdf's target slks" to "eco domain's target slks", let it

consistent between "create twf hack sdf domain" and "slkfix"

begin_corner

...

end_corner

...

### NOTICE: PLEASE ALIGN "hack sdf's target slk" to "eco domain's target

slk"

set slk_hold_target_slk 0.02 ; # eco domain's target slk

set slk_setup_target_slk 0.03 ; # eco domain's target slk

B224 284
Tweaker™ Blocking Codes Version T-2022.03

slkfix -create_twf_hack_sdf_domain

slkdc -check_slack_consistency

...

source $script_path/twf_hacksdf_setting.tcl

### NOTICE: PLEASE ALIGN "hack sdf's target slk" to "eco domain's target

slk"

set slk_hold_target_slk 0.02 ; # hack sdf's target slk

set slk_setup_target_slk 0.03 ; # hack sdf's target slk

slkfix -twf_hack_sdf

...

B224 285
Tweaker™ Blocking Codes Version T-2022.03

B225
# Blocked by min through path threshold for hold time fixing.

Description
During Hold ECO operation, if the candidate cell through path count is

smaller than this min through path count threshold, we will block this ECO

opeation.

Next step
Reduce the following variable setting:

set slk_hold_insertion_through_path_threshold 1 (default: 0)

B225 286
Tweaker™ Blocking Codes Version T-2022.03

B226
# Blocked by sizing congestion limit

Description
During sizing ECO, users are allowed to calculate the congestion of the

design while performing the insertion based on the congestion condition by

using below commands:

create_congestion_db [-design design_name] [-ignore_routing_blockage]

[-ignore_via] [-top_routing_layer top_layer]

[-bottom_routing_layer bottom_layer]

set slk_congestion_aware_threshold lower_ratio high_ratio

Consequently, if Tweaker size ECO cells in the region with congestion

percentage larger than the specified high_ratio value, Tweaker will not

perform the ECO operation, and will report this blocking message.

Next step
1. Enlarge the thresholds of variable:

set slk_congestion_aware_threshold 0.5 0.8

=>

set slk_congestion_aware_threshold 0.7 0.9

2. Disable sizing congestion aware ECO by using below variable:

B226 287
Tweaker™ Blocking Codes Version T-2022.03

set slk_congestion_aware_sizing false

B226 288
Tweaker™ Blocking Codes Version T-2022.03

B227
# Blocked by power eco forbidden chain.

Description
During Power ECO, if the ECO operation will generate the forbidden

chain. Tweaker will block this ECO operation.

Next step
Relax the forbidden chain rules setting:

1. Relax by setup/hold margin

set slk_power_eco_allow_forbidden_chain_setup_margin 0.2

(default: 999.0)

set slk_power_eco_allow_forbidden_chain_hold_margin 0.05

(default: 999.0)

2. Relax by DRV factor/value

set_drv_factor 0.6 -forbidden_chain -chain { "chain_rule" }

set_drv_value 0.03 -forbidden_chain -chain { "chain_rule" }

B227 289
Tweaker™ Blocking Codes Version T-2022.03

B228
# Blocked by insert delay count limit

Description
If delay insert instance count over the "slk_insert_delay_count_limit" value

(default: 10). Tweaker will Block this ECO operation.

Users are able to specify the delay insert count limit of the insert delay

which is allowed to be touched by Tweaker by using the below variable:

set slk_insert_delay_count_limit value

Next step
1. Change your insertion candidate to more suitable cells to insert delay.

2. Set a larger value to the following variable to insert more instances:

set slk_insert_delay_count_limit 30

B228 290
Tweaker™ Blocking Codes Version T-2022.03

B229
# Blocked by cell via0 overlapping power strap

Description
In std cell's lef, there will be via0 definition in OBS part. During ECO including

sizing or insertion, if the violated cell or inserted buffer via0 could overlap with

power strap, Tweaker will not do ECO on it.

Next step
set enable_cell_via0_avoid_power_strap false

B229 291
Tweaker™ Blocking Codes Version T-2022.03

B230
# Blocked by fix setup up sizing peer

Description
When Tweaker out of candidate for downsizing during down sizing peer opera-

tion. However, there might be some cases where up sizing the peer help to

improve the setup time. Therefore, this blocking code shown to let user know

Tweaker can have more candidate to size peer.

Next step
To let Tweaker has more candidate for sizing peer, user can enable below

variable:

set slk_fix_setup_down_sizing_peer_allow_up_sizing true

B230 292
Tweaker™ Blocking Codes Version T-2022.03

B231
# Blocked by whole path in IP block

Description
The path connection entirely within an IP block (Netlist and Def isn't prov-

ided to Tweaker). Hence, Tweaker isn't able to fix the path and shows this

blocking code.

Next step
Please provide IP's netlist and def to Tweaker.

B231 293
Tweaker™ Blocking Codes Version T-2022.03

B232
# Blocked by auto sizing keep routing

Description
Tweaker cannot perform the ECO operation when you enable the "keep routing"

function.

You can enable the "keep routing" function to reduce the routing

modification by using the following variable:

set slk_auto_sizing_keep_routing true

Next step
Disable the "keep routing" function by using the following variable:

set slk_auto_sizing_keep_routing false

B232 294
Tweaker™ Blocking Codes Version T-2022.03

B233
# Blocked by out of power management cell group

Description
When "compare_power_management_cell_equal_by_pin" enabled, Tweaker cannot perform sizing

ECO operation if Tweaker find that the ECO target cell name, number and type of PG pin is

different with ECO sizing candidate cell.

Next step
1. Please check library PG pin definition correct or not.

2. If user find library definition wrong, user can disable the following variable for sizing.

(not recommand)

set compare_power_management_cell_equal_by_pin false

B233 295
Tweaker™ Blocking Codes Version T-2022.03

B234
# Blocked by dont touch metal layer

Description
During autofix, Tweaker will check if the violated cell's or inserted pin's

routing layer hits one of the dont touch metal layers.

If so, Tweaker will give up doing ECO.

Next step
Please help relax the dont touch metal layer settings.

set_dont_touch_metal_layer false $METAL_LAYER

B234 296
Tweaker™ Blocking Codes Version T-2022.03

B235
# Blocked by cell pin track mismatch

Description
During ECO, if the violated cell's or ECO inserted cell's pin cannot be aligned

with routing track, Tweaker will not do ECO on it.

Next step
Disable placement physical rule check to let Tweaker do ECO.

set db_placement_check_physical_rule false

B235 297
Tweaker™ Blocking Codes Version T-2022.03

B236
# Blocked by instance pin not in user specified domain

Description
During repeater insertion, Tweaker will try to insert on instance's pin to

fix timing violation. However, if user only specified specific paths to be

included into ECO domain. When user trying to fix path excluded from ECO

domain, Tweaker can not insert on the instance's pin due to it does not in

the ECO domain (No timing information).

Next step
Expand the ECO domain to the path want to be fixed. By default, when ECO do-

main is not specified, Tweaker will create ECO domain for all the read slack

path.

Or, user can create whole chip domain to include all instance into ECO doma-

in.

slkfix -create_whole_chip_domain

B236 298
Tweaker™ Blocking Codes Version T-2022.03

B237
# Blocked by dont touch missing lib leakage cell

Description
Tweaker cannot perform leakage power ECO operation on the cell whose does

not have leakage power information within its corresponding LIB file since

Tweaker will need those cell leakage power information to do the leakage

power calculation.

Next step
1. Please provide the corresponding LIB files for Tweaker.

2. Please check the leakage power of the corresponding pin in the LIB file.

3. Please check define the correct leakage lib group.

set slk_leakage_power_lib tt

set slk_leakage_power_lib_voltage 1.0

set slk_leakage_power_lib_temperature 25

B237 299
Tweaker™ Blocking Codes Version T-2022.03

B241
# Blocked by voltage sensitivity (V_sensit) is not improved

Description
In Vmin ECO, Tweaker will not change cell if the voltage sensitivity

(V_sensit) of the ECO cell is not improved.

The lower value of voltage sensitivity derives the better results for

Vmin ECO.

The voltage sensitivity is initialized by Vmin report from Vmin

analysis tool (i.e., PrimeShield ) and updated by Tweaker Vmin

ECO engine.

The corners for the V_sensit reference are defined by command:

set_voltage_sensitivity_corner

The voltage sensitivity is denoted at the pin of timing path. It relates

to the cell delay and the trend of the timing degration estimated

in specific VDD drop - usually 10mV.

Next step
Please check the given sizing rule.

B241 300
Tweaker™ Blocking Codes Version T-2022.03

B242
# Blocked by hack comb-logic cell only

Description
By default, Tweaker will hack the cell delay for both combinational and

sequential cells during the hack sdf stage.

When Tweaker tried to touch sequential cells, Tweaker will not perform the ECO

operation if the variable "slk_hack_comb_logic_cell_only" is set to true, and

will report this blocking message.

Next step
Please disable below variable to allow touch both combinational and sequential

cells:

set slk_hack_comb_logic_cell_only false

B242 301
Tweaker™ Blocking Codes Version T-2022.03

B247
# Blocked by minimum improved IR-dropped ratio.

Description
During IR ECO, if IR drop ratio improvement for each ECO operation is smaller

than the given minimum ratio improvement.

Next step
Please set a smaller minimum IR drop ratio improvement by using below variables:

set slk_ir_eco_min_improved_ratio 0.0001

B247 302
Tweaker™ Blocking Codes Version T-2022.03

B248
# Blocked by fix IR without sizing up

Description
By default, during IR ECO, Tweaker will not allow any sizing-up operation.

Next step
Tweaker didn't allow and perform any sizing-up ECO operation.

B248 303
Tweaker™ Blocking Codes Version T-2022.03

B249
# Blocked by slk fix keep obs geometry

Description
Tweaker cannot perform the ECO operation when user enable "keep obs geometry"

function.

Users are able to enable the "keep obs geometry" function to keep the cell obs

geometry modification by using variable:

set slk_fix_keep_obs_geometry true

Next step
Please disable "keep obs geometry" function by using below variable:

set slk_fix_keep_obs_geometry false

B249 304
Tweaker™ Blocking Codes Version T-2022.03

B251
# Blocked by IR prediction communication error.

Description
During IR ECO, Tweaker will communicate with RHSC to get real-time IR drop ECO

difference. If Tweaker get error message from RHSC, it will show this blocking

and blocked this instance IR ECO.

Next step
Please contact Synopsys AE.

B251 305
Tweaker™ Blocking Codes Version T-2022.03

B252
# Blocked by power eco ignore power/ground connected cell

Description
During power eco, Tweaker wouldn't touch cell which is connected with

power/ground net.

Next step
1. If user still want to save those kinds of cell leakage power, user

can disable the following variable.

set slk_power_eco_ignore_power_net false

B252 306
Tweaker™ Blocking Codes Version T-2022.03

B253
# Blocked by missing driving port/cell

Description
During power eco, Tweaker wouldn't touch cell which is missing driving

port/cell.

Next step
Please check your verilog logic design correct or not.

B253 307

You might also like