Professional Documents
Culture Documents
Tweaker™ Blocking Codes
Tweaker™ Blocking Codes
Blocking Codes
Version T-2022.03, March 2022
Tweaker™ Blocking Codes Version T-2022.03
2
Tweaker™ Blocking Codes Version T-2022.03
Contents
B001 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9. . . . . . . . . . .
B002 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
...........
B003 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11
...........
B004 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12
...........
B005 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14
...........
B006 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15
...........
B007 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
...........
B008 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17
...........
B009 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18
...........
B010 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19
...........
B011 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20
...........
B012 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21
...........
B013 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22
...........
B014 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23
...........
B015 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25
...........
B016 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26
...........
B017 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27
...........
B018 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28
...........
B019 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29
...........
B020 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30
...........
B021 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31
...........
B022 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32
...........
B023 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33
...........
B024 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34
...........
B025 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36
...........
B026 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37
...........
B027 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38
...........
B028 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39
...........
B029 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40
...........
B030 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42
...........
B031 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43
...........
B032 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44
...........
B033 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46
...........
B034 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47
...........
B035 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48
...........
B036 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50
...........
B037 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51
...........
B038 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52
...........
B039 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53
...........
B040 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54
...........
Contents 3
Tweaker™ Blocking Codes Version T-2022.03
B041 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56
...........
B042 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57
...........
B043 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59
...........
B044 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60
...........
B045 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61
...........
B046 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62
...........
B047 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63
...........
B048 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64
...........
B049 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65
...........
B050 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66
...........
B051 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73
...........
B052 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75
...........
B053 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77
...........
B054 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79
...........
B055 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80
...........
B056 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82
...........
B057 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84
...........
B058 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85
...........
B059 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86
...........
B060 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88
...........
B061 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89
...........
B062 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90
...........
B063 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92
...........
B064 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 93
...........
B065 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94
...........
B066 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95
...........
B067 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97
...........
B068 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99
...........
B069 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100
...........
B070 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101
...........
B071 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102
...........
B072 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103
...........
B073 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104
...........
B074 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105
...........
B075 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106
...........
B076 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107
...........
B077 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109
...........
B078 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110
...........
B079 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111
...........
B080 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112
...........
B081 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113
...........
B082 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115
...........
B083 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117
...........
B084 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118
...........
B085 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119
...........
Contents 4
Tweaker™ Blocking Codes Version T-2022.03
B086 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120
...........
B087 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121
...........
B088 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122
...........
B089 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123
...........
B090 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124
...........
B091 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125
...........
B092 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126
...........
B093 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127
...........
B094 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 128
...........
B095 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129
...........
B096 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 130
...........
B097 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131
...........
B098 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 132
...........
B099 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133
...........
B100 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135
...........
B101 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 137
...........
B102 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 138
...........
B103 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 139
...........
B104 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 140
...........
B105 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141
...........
B106 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 142
...........
B107 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144
...........
B108 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 145
...........
B109 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 147
...........
B110 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 148
...........
B111 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 150
...........
B112 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151
...........
B113 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152
...........
B114 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153
...........
B115 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 154
...........
B116 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155
...........
B117 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156
...........
B118 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 157
...........
B119 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158
...........
B120 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159
...........
B121 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160
...........
B122 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 161
...........
B123 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 162
...........
B124 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 163
...........
B125 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 164
...........
B126 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 165
...........
B127 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 166
...........
B128 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 167
...........
B129 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 168
...........
B130 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 169
...........
Contents 5
Tweaker™ Blocking Codes Version T-2022.03
B131 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 170
...........
B132 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 172
...........
B133 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 173
...........
B134 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174
...........
B135 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 175
...........
B136 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 177
...........
B137 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 178
...........
B138 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 179
...........
B139 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 180
...........
B140 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 181
...........
B141 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 182
...........
B142 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183
...........
B143 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 184
...........
B144 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 185
...........
B145 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 187
...........
B146 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 188
...........
B147 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 189
...........
B148 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 190
...........
B149 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 191
...........
B150 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 192
...........
B151 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193
...........
B152 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 194
...........
B153 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 196
...........
B154 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 198
...........
B155 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 201
...........
B156 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203
...........
B157 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 204
...........
B158 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 206
...........
B159 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 207
...........
B160 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 209
...........
B161 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 210
...........
B162 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 212
...........
B163 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 214
...........
B164 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 216
...........
B165 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 217
...........
B166 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 218
...........
B167 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 220
...........
B168 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 221
...........
B169 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 222
...........
B170 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 223
...........
B171 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 224
...........
B172 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 225
...........
B173 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 227
...........
B174 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 228
...........
B175 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 229
...........
Contents 6
Tweaker™ Blocking Codes Version T-2022.03
B176 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 230
...........
B177 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 231
...........
B178 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 232
...........
B179 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 233
...........
B180 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 234
...........
B181 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 235
...........
B182 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 236
...........
B183 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 238
...........
B184 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 239
...........
B185 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 240
...........
B186 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 241
...........
B187 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 242
...........
B188 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 243
...........
B189 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 244
...........
B190 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 245
...........
B191 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 247
...........
B192 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 248
...........
B193 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 249
...........
B194 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 250
...........
B195 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 251
...........
B196 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 252
...........
B197 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 253
...........
B198 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 254
...........
B199 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 255
...........
B200 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 257
...........
B201 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 258
...........
B202 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 259
...........
B203 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 260
...........
B204 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 261
...........
B205 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 262
...........
B206 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 263
...........
B207 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 264
...........
B208 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 265
...........
B209 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 266
...........
B210 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 267
...........
B211 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 268
...........
B212 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 269
...........
B213 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 270
...........
B214 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 271
...........
B215 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 274
...........
B216 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 275
...........
B217 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 276
...........
B218 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 278
...........
B219 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 279
...........
B220 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 280
...........
Contents 7
Tweaker™ Blocking Codes Version T-2022.03
B221 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 281
...........
B222 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 282
...........
B223 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 283
...........
B224 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 284
...........
B225 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 286
...........
B226 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 287
...........
B227 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 289
...........
B228 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 290
...........
B229 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 291
...........
B230 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 292
...........
B231 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 293
...........
B232 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 294
...........
B233 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 295
...........
B234 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 296
...........
B235 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 297
...........
B236 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 298
...........
B237 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 299
...........
B241 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 300
...........
B242 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 301
...........
B247 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 302
...........
B248 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 303
...........
B249 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 304
...........
B251 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 305
...........
B252 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 306
...........
B253 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 307
...........
Contents 8
Tweaker™ Blocking Codes Version T-2022.03
B001
# Slack Improved
Description
Slack has been improved ( non blocking message ).
Next step
None
B001 9
Tweaker™ Blocking Codes Version T-2022.03
B002
# Blocked by Setup Path
Description
Tweaker will not perform the ECO operation if it will worsen the original
setup path.
Next step
Loosen the setup target slack by setting a smaller value to below variable:
B002 10
Tweaker™ Blocking Codes Version T-2022.03
B003
# Blocked by no setup margin (twf)
Description
During grouping algorithm, Tweaker will not perform the ECO grouping
Users are able to set the ECO grouping setup margin by using below
internal variable:
Next step
Loosen the grouping setup margin by setting a smaller value to below variable:
B003 11
Tweaker™ Blocking Codes Version T-2022.03
B004
# Blocked by Timing Window (Setup)
Description
Tweaker will not perform the ECO operation on the instance if the setup margin
Next step
1. Loosen the setup target slack by setting a smaller value to below variable:
B004 12
Tweaker™ Blocking Codes Version T-2022.03
B004 13
Tweaker™ Blocking Codes Version T-2022.03
B005
# Blocked by Timing Window (Hold)
Description
Tweaker will not perform the ECO operation on the instance if the hold margin
Next step
1. Loosen the hold target slack by setting a smaller value to below variable:
B005 14
Tweaker™ Blocking Codes Version T-2022.03
B006
# Blocked by Driving Timing Window (Setup)
Description
Tweaker will not perform the ECO operation on the instance if driver's other
Next step
1. Loosen the setup target slack by setting a smaller value to below
variable:
2. Loosen the setup margin at peer side by setting a smaller value to below
variable:
3. Disable the ability to watch other peers' setup margin by using below
variable:
(small impact of timing on the peer side can happen, which can be fixed
incrementally)
B006 15
Tweaker™ Blocking Codes Version T-2022.03
B007
# Blocked by Driving Timing Window (Hold)
Description
Tweaker will not perform the ECO operation on the instance if its peer pin doesn't have
Next step
1. Loosen the hold target slack by setting a smaller value to below variable:
2. Group the peer sides which have lower hold margin by setting a larger value to below variable:
3. Specify an allowed impact value for peer side by setting below variable:
4. Disable the ability to watch other peers' hold margin by using below
variable: (Aggressive)
B007 16
Tweaker™ Blocking Codes Version T-2022.03
B008
# Blocked by sizing buffer/inverter only
Description
Users are able to force Tweaker to touch only buffer and inverter cells by
When above variable is set to true, then when Tweaker tried to touch cells
other than buffer or inverter, Tweaker will not perform the ECO operation,
Next step
Please disable the ability to "size buffer and inverter only" by using
below variable:
B008 17
Tweaker™ Blocking Codes Version T-2022.03
B009
# Blocked by sizing comb-logic cell only
Description
By default, Tweaker will perform the sizing operation on combinational cells
only.
When Tweaker tried to size sequential cells, Tweaker will not perform the ECO
Next step
Please disable the ability to size combinational cells only by using below
variable:
B009 18
Tweaker™ Blocking Codes Version T-2022.03
B010
# Improved slack < min improved slack
Description
During autofix, if the slack improvement for each ECO operation is smaller
Next step
Please set a smaller minimum slack improvement by using below variables:
B010 19
Tweaker™ Blocking Codes Version T-2022.03
B011
# Primary IO
Description
This is an obsolete blocking code.
Next step
N/A
B011 20
Tweaker™ Blocking Codes Version T-2022.03
B012
# Blocked by sizing non-STD cell
Description
Tweaker cannot optimize the instance if it is not a standard cell.
Next step
Please check the LIB and LEF file of this instance.
B012 21
Tweaker™ Blocking Codes Version T-2022.03
B013
# Blocked by power domain mismatch
Description
Tweaker doesn't allow delay insertion on the net that is connected instance
with incorrect power domain definition due to different physical and logical
Users are able to define logical and/or physical power domain by using below
commands:
[ -instances instance_name_list ]
or
Next step
1. Please check power domain information in Tweaker by using below command:
B013 22
Tweaker™ Blocking Codes Version T-2022.03
B014
# Blocked by power domain not defined
Description
When power domain is not defined correctly, Tweaker does not allow to insert cell on
switching).
Users are able to define logical and/or physical power domain by using below
command:
[ -instances instance_name_list ]
or
Next step
1. Please check power domain information in Tweaker by using below command:
3. If power domain is not defined, use Tweaker command to create a default domain.
B014 23
Tweaker™ Blocking Codes Version T-2022.03
4. Check cell property of driver and sink cells if cell is power management cell.
B014 24
Tweaker™ Blocking Codes Version T-2022.03
B015
# Blocked by cross power domain not supported
Description
This is an obsolete blocking message.
Next step
N/A
B015 25
Tweaker™ Blocking Codes Version T-2022.03
B016
# Blocked by cross design
Description
During by-pass ECO operation, Tweaker will not allow by-passing the instance
that is directly connected to the design port, since it will force Tweaker
Next step
Please check the design connection in its corresponding NETLIST and Tweaker
Schematic View.
B016 26
Tweaker™ Blocking Codes Version T-2022.03
B017
# Blocked by cross duplicated design
Description
During by-pass ECO operation, Tweaker will not allow by-passing the instance
that is directly connected to the design port, since it will force Tweaker
Next step
Please check the design connection in its corresponding NETLIST and Tweaker
Schematic View.
B017 27
Tweaker™ Blocking Codes Version T-2022.03
B018
# Blocked by Tweaker doesn't touch cross bbox net
Description
In Tweaker black-box is the module without Netlist, DEF, and LIB file.
Next step
Disable the ability to not-touching black-box's net by using below variable:
When disabling above variable, user are still able to set a lower fixing
When above variable is set to true, then Tweaker will put the highest
priority to fix on normal nets. For the unfixed violation, Tweaker will try
to touch those net that is crossing black-box net for further ECO operation.
B018 28
Tweaker™ Blocking Codes Version T-2022.03
B019
# Blocked by worst setup impact (minimize worst slack)
Description
During setup autofix, Tweaker will not allow the ECO operation
if the WNS of the setup violation is getting worse or not improved at all.
Users are able to targeting to reduce the path setup WNS by using below
variable:
Next step
1. Please disable autofix by targeting to reduce the path setup WNS by using
below variable:
2. Please increase setup target slack by giving a smaller value to below variable:
3. Disable the ability to watch setup margin by using below variable: (not recommended)
B019 29
Tweaker™ Blocking Codes Version T-2022.03
B020
# Blocked by worst setup impact
Description
During hold or clock autofix, Tweaker will not allow the ECO operation
Next step
1. Please increase setup target slack by giving a smaller value to below
variable:
2. Please disable the ability to watch setup and hold margin by using
B020 30
Tweaker™ Blocking Codes Version T-2022.03
B021
# Blocked by worst hold impact
Description
During setup or clock autofix, Tweaker will not allow the ECO operation
Next step
1. Please reduce hold target slack by giving a smaller value to below variable:
2. Please disable the ability to watch setup margin by using below variable:
(not recommended)
B021 31
Tweaker™ Blocking Codes Version T-2022.03
B022
# Blocked by max fanout limit
Description
Tweaker will not allow the ECO operation on the net whose fanout is larger
Users are able to specify the allowed fanout limit of the net which
Next step
Set a larger value to below variable:
B022 32
Tweaker™ Blocking Codes Version T-2022.03
B023
# Blocked by max wire length limit
Description
Tweaker will not allow the ECO operation on the net whose wire length is
Users are able to specify the allowed wire length limit of the net which
Next step
Set a larger value to below variable:
B023 33
Tweaker™ Blocking Codes Version T-2022.03
B024
# Blocked by max trans limit
Description
During setup, hold, clock ECO,or power ECO autofix, if the transition of the
post ECO cell is larger than the allowed max-trans value, then Tweaker
will not perform this ECO operation and will give this blocking message.
1. Setup autofix:
2. Hold autofix:
3. Power ECO:
Next step
Set a larger value to corresponding variables below:
B024 34
Tweaker™ Blocking Codes Version T-2022.03
1. Setup autofix:
2. Hold autofix:
3. Power ECO:
B024 35
Tweaker™ Blocking Codes Version T-2022.03
B025
# Blocked by Timing Window (Setup) (Input Pin)
Description
During auto sizing for fix timing, Tweaker will check each input pin of target
instance to be sized will get timing impact based on target slack or not. If the
sub side is improving but one of the input pin side is impact Tweaker will still
Next step
1. Disable setup slack checking by using below variable: (not recommended)
2. Allow eco cell setup timing impact (Ex. 10ps impact on ECO cell other input pin.)
B025 36
Tweaker™ Blocking Codes Version T-2022.03
B026
# B026 Blocked by Timing Window (Hold) (Input Pin)
Description
During sizing ECO for hold autofix, Tweaker will check if the hold slack of
the driving pin is satisfying the given hold target slack or not.
If the hold slack of the driving pin is smaller than the given hold target
slack, Tweaker will not perform the ECO operation and will give this blocking
message.
Users are able to set hold target slack by using below variable:
Next step
1. Please set a smaller value to below variable:
3. Allow eco cell hold timing impact (Ex. 10ps impact on ECO cell other input pin.)
B026 37
Tweaker™ Blocking Codes Version T-2022.03
B027
# Blocked by max fine tune limit
Description
During clock ECO, Tweaker will not allow ECO operation if the slack difference
During clock ECO, users are able to specify the allowed slack difference on below
variables:
Next step
Set a larger value to below variables:
B027 38
Tweaker™ Blocking Codes Version T-2022.03
B028
# Blocked by watch hold WNS limit
Description
During clock ECO in Tweaker, when the watch-hold-wns function is enabled,
Tweaker will check if current ECO will worsen the hold WNS.
When current ECO operation is worsened hold WNS, Tweaker will not perform
Next step
Please use below variable to disable the ability to watch-hold-wns:
B028 39
Tweaker™ Blocking Codes Version T-2022.03
B029
# Blocked by watch setup WNS limit
Description
1. Clock ECO
Tweaker will check if current ECO will worsen the setup WNS.
When current ECO operation is worsened setup WNS, Tweaker will not perform
2. Vmin ECO
When current ECO operation is worsened setup WNS, Tweaker will not perform
Next step
1. Clock ECO
B029 40
Tweaker™ Blocking Codes Version T-2022.03
2. Vmin ECO
B029 41
Tweaker™ Blocking Codes Version T-2022.03
B030
# Blocked by max number of buff insertion limit
Description
This is an obsolete blocking code.
Next step
N/A
B030 42
Tweaker™ Blocking Codes Version T-2022.03
B031
# Blocked by min row height limit
Description
This is an obsolete blocking code.
Next step
N/A
B031 43
Tweaker™ Blocking Codes Version T-2022.03
B032
# Blocked by previous cell delay limit
Description
During sizing and by-pass operation for setup autofix, when there is no
Timing Window File fed into Tweaker, Tweaker will use below variable as
During the sizing and by-pass operation for setup autofix, if the driver pin
of the sized cell got impacted more than above specified value, then Tweaker
will not perform the ECO operation, and will report this blocking message.
Next step
1. Adjust the allowed impact value by using below variable:
B032 44
Tweaker™ Blocking Codes Version T-2022.03
B032 45
Tweaker™ Blocking Codes Version T-2022.03
B033
# Blocked by bypass buff list
Description
During by-pass buffer ECO for setup autofix, if user have specified
the bypass buffer list candidate, then Tweaker will only perform the
When Tweaker tried to by-pass the buffer out of the given list,
Tweaker will not perform this ECO and will report this blocking message.
Users are able to specify the by-pass candidate by using below variable:
Next step
1. Please specify the blocked instance's cell type into below variable:
2. Disable specified list by-pass ECO by giving empty string into below variable:
set slk_bypass_buff_list { }
B033 46
Tweaker™ Blocking Codes Version T-2022.03
B034
# Blocked by DRV fail
Description
During timing ECO, Tweaker will not allow the transition or capacitance
value of the ECO cell or the pin that is connected to the ECO cell getting
Users are also able to set the allowed transition value by using below
commands:
set_drv_factor value
set_drv_value value
Next step
1. Set a higher value to below corresponding commands:
set_drv_factor 0.8
or
set_drv_value 2.0
B034 47
Tweaker™ Blocking Codes Version T-2022.03
B035
# Blocked by keep long wire slew
Description
When the wire is defined as a "long wire" in Tweaker, then Tweaker will enable
If the slew value after the ECO is worse than the allowed slew value, Tweaker
will not perform the ECO operation and will report this blocking message.
Users are able to define which net to be included as long wire by specifying
Users are able to define the maximum allowed slew value by defining its factor
Next step
1. For sizing ECO:
(b) To disable slew calculation for sizing ECO, please use below
B035 48
Tweaker™ Blocking Codes Version T-2022.03
variable:
(b) To disable slew calculation for insertion ECO, please use below
variable:
to below variable:
B035 49
Tweaker™ Blocking Codes Version T-2022.03
B036
# Blocked by keep SI slew
Description
During sizing operation, Tweaker will not do a sizing down operation
Next step
Please use below variable to disable SI slew checking in Tweaker:
B036 50
Tweaker™ Blocking Codes Version T-2022.03
B037
# Blocked by better slew only
Description
During ECO operation, Tweaker will not allow the slew value become worse
Next step
1. To adjust the allowed slew value degradation, please give a
B037 51
Tweaker™ Blocking Codes Version T-2022.03
B038
# Blocked by better slew only (high drive)
Description
During ECO operation, users are able to allow Tweaker to use the high-drive
During the insertion, Tweaker will not allow the Post-ECO slew value of the
Users are able to specify the insertion high-drive buffer list by using
below variable:
Next step
1. To adjust the allowed slew value degradation, please give a
B038 52
Tweaker™ Blocking Codes Version T-2022.03
B039
# Blocked by power eco fix dominate transition
Description
During Power ECO, Tweaker will not perform the sizing operation if it is
Next step
Disable the dominate-transition checking in Tweaker by using below variable:
(Aggressive)
B039 53
Tweaker™ Blocking Codes Version T-2022.03
B040
# Blocked by space limit
Description
During ECO operation, Tweaker will search for the free space within the
For sizing operation, users are able to give the allowed shifting distance
For insertion operation, users are able to give the free-space search range
In addition, for insertion operation, users are also able to give the preferred
wire length of the net that is connected to the ECO cell by using below variable:
(default: 6 9999)
User also able to limit the resources searching-window during Metal ECO mode
set_resource_window [-add_window|-clear_window] x1 y1 x2 y2
Next step
B040 54
Tweaker™ Blocking Codes Version T-2022.03
(d) Give a bigger value to the upper bound of preferred wire length
B040 55
Tweaker™ Blocking Codes Version T-2022.03
B041
# Blocked by power domain
Description
Tweaker doesn't allow ECO insertion if power domain hasn't defined yet.
Users are able to define logical and/or physical power domain by using below
command:
[ -instances instance_name_list ]
or
Next step
1. Please check power domain information in Tweaker by using below command:
B041 56
Tweaker™ Blocking Codes Version T-2022.03
B042
# Blocked by design unplaceable
Description
During sizing or insertion autofix, if the given candidate(s) cannot
then Tweaker will not use this candidate and will report this blocking
message.
Next step
(a) For sizing operation:
variable below:
Please specify more candidate with different cell height that is available
B042 57
Tweaker™ Blocking Codes Version T-2022.03
h0hp_bufx1 h0hp_bufx2 }
h0hp_bufx1 h0hp_bufx2 }
B042 58
Tweaker™ Blocking Codes Version T-2022.03
B043
# Blocked by predicted slack not improved
Description
During sizing operation, Tweaker will enable the prediction algorithm
When Tweaker finds that there's no suitable candidate, Tweaker will not
perform the ECO operation on the instance and will report this blocking
message.
Next step
1. Lower down the minimal allowed improvement for each ECO operation
B043 59
Tweaker™ Blocking Codes Version T-2022.03
B044
# Blocked by preferred repeater distance limit
Description
During repeater insertion and split load operation for max-trans autofix,
when Tweaker is unable to find any available free space within the given
preferred distance, then Tweaker will not perform the ECO operation, and
Next step
Please set a larger value to below variable:
B044 60
Tweaker™ Blocking Codes Version T-2022.03
B045
# Blocked by max delay distance limit
Description
This is an obsolete blocking code.
Next step
N/A
B045 61
Tweaker™ Blocking Codes Version T-2022.03
B046
# Blocked by DEF UNPLACE
Description
Tweaker will not perform any ECO operation on the net that is connected to
Next step
Please check the instance in its corresponding DEF file.
B046 62
Tweaker™ Blocking Codes Version T-2022.03
B047
# Blocked by DEF FIX
Description
During sizing operation, Tweaker will not perform the ECO operation on the
Next step
Disable the ability to dont-touch DEF-FIXED cells by using below variable:
B047 63
Tweaker™ Blocking Codes Version T-2022.03
B048
# Blocked by net between PAD and IO Port
Description
Tweaker doesn't allow repeater insertion on nets connected between IO to PAD.
Next step
Please check the constraint on the IO port that is connected to the net/path.
B048 64
Tweaker™ Blocking Codes Version T-2022.03
B049
# Blocked by no driver
Description
Tweaker will not perform any insertion operation on the net without
Next step
1. Please check the connection in the corresponding NETLIST.
2. Users are also able to check the connection by using Tweaker Schematic
B049 65
Tweaker™ Blocking Codes Version T-2022.03
B050
# Blocked by Tweaker don't touch setting
Description
Tweaker is unable to touch the specific instance due to user's dont-touch
settings.
Users are able to set dont-touch setting on specific net, instance, pin, or
[-quiet]
[-quiet]
B050 66
Tweaker™ Blocking Codes Version T-2022.03
[-quiet]
[-quiet]
[-quiet]
[-quiet]
B050 67
Tweaker™ Blocking Codes Version T-2022.03
[-design design_name_list]
path_filter:
[-path_group path_group_list]
[-endpoint_pin_list lib_pin_list]
[-file filename]
[-clock clock_name_list]
[-startpoint_clock clock_name_list]
[-endpoint_clock clock_name_list]
[-from startpoint_pin]
[-to endpoint_pin]
[-through pin_name_list]
[-through_pin_file file_name]
[-path_list path_id_list]
[-dominate]
[-corner corner_name_list]
B050 68
Tweaker™ Blocking Codes Version T-2022.03
[-interface_group design_name_list]
[-inv_interface_group design_name_list]
[-from_design design_name_list]
[-to_design design_name_list]
[-skew skew_value]
Next step
1. Report net, instance, or pin whose has dont-touch setting by using below
corresponding commands:
report_dont_touch_net
report_dont_touch_instance
report_dont_touch_pin
[-quiet]
[-quiet]
B050 69
Tweaker™ Blocking Codes Version T-2022.03
[-quiet]
[-quiet]
[-quiet]
B050 70
Tweaker™ Blocking Codes Version T-2022.03
[-quiet]
[-design design_name_list]
path_filter:
[-path_group path_group_list]
[-endpoint_pin_list lib_pin_list]
[-file filename]
[-clock clock_name_list]
[-startpoint_clock clock_name_list]
[-endpoint_clock clock_name_list]
[-from startpoint_pin]
[-to endpoint_pin]
[-through pin_name_list]
B050 71
Tweaker™ Blocking Codes Version T-2022.03
[-through_pin_file file_name]
[-path_list path_id_list]
[-dominate]
[-corner corner_name_list]
[-interface_group design_name_list]
[-inv_interface_group design_name_list]
[-from_design design_name_list]
[-to_design design_name_list]
[-skew skew_value]
B050 72
Tweaker™ Blocking Codes Version T-2022.03
B051
# Blocked by Tweaker don't use setting
Description
During vt-swap, sizing and insertion operation, Tweaker will not use the
"Dont-use" attribute can either come from its corresponding LIB file or
[-power_domain power_domain_list]
[-quiet]
[-power_domain power_domain_list]
[-quiet]
Next step
1. Report the cell-type with "dont-use" attribute by using below command:
B051 73
Tweaker™ Blocking Codes Version T-2022.03
report_dont_use_cell
below command:
B051 74
Tweaker™ Blocking Codes Version T-2022.03
B052
# Blocked by sizing by same library name
Description
While performing sizing or vt-swap ECO operation without applying mapping rule
and
Tweaker will based on the library name to differ between different size or
vt-type. Hence, after Tweaker defined the sizing and vt-swap candidate based on
the LIB name, Tweaker will avoid sizing or vt-swap crossing its original
Next step
1. Please use below variable to disable the library name checking in Tweaker:
or
B052 75
Tweaker™ Blocking Codes Version T-2022.03
B052 76
Tweaker™ Blocking Codes Version T-2022.03
B053
# Blocked by no candidate cell
Description
During vt-swap, sizing, and insertion operation, if Tweaker cannot find any
better candidate cell, Tweaker will not perform the ECO operation and will report
1. Users are able to give the vt-swap or sizing candidate by using below command
and variables:
will auto configure insertion cell when there is no cell in candidate list.
If Tweaker can't find suitable cell from candidate list, Tweaker will report
B053 77
Tweaker™ Blocking Codes Version T-2022.03
in candidate list, Tweaker will not perform the ECO and show this blocking code.
Next step
1. During vt-swap and sizing stage, please give more cell-type candidate into the
2. During insertion stage, please give cell types into candidate list.
Or let Tweaker auto configure cell list when candidate list is not specified.
B053 78
Tweaker™ Blocking Codes Version T-2022.03
B054
# Blocked by no usable cell
Description
This is an obsolete blocking code.
Next step
N/A
B054 79
Tweaker™ Blocking Codes Version T-2022.03
B055
# Blocked by no buffer cell
Description
During repeater, buffer, or delay insertion operation, when the dont-touch
setting has a higher priority than repeater setting, there will be two
Scenario 1:
candidate cell has "dont-use" attribute, then Tweaker will give this
blocking message.
Scenario 2:
If the given list is empty, then Tweaker will automatically search for
Users are able to set whether dont-touch setting has the higher priority than
By default, Tweaker will honor and still use the cell that is listed in the
B055 80
Tweaker™ Blocking Codes Version T-2022.03
During repeater, buffer, or delay insertion operation, users are able to specify
Next step
1. Please provide the proper cell candidate on below variables:
the given list compared to the dont-touch setting by using below variable:
B055 81
Tweaker™ Blocking Codes Version T-2022.03
B056
# Blocked by no inverter
Description
During inverter-pair insertion operation, when the dont-touch
setting has a higher priority than repeater setting, there will be two
Scenario 1:
blocking message.
Scenario 2:
If the given list is empty, then Tweaker will automatically search for
If all inverter cells within the design has "dont-use" attribute, then
Users are able to set whether dont-touch setting has the higher priority than
By default, Tweaker will honor and still use the cell that is listed in the
B056 82
Tweaker™ Blocking Codes Version T-2022.03
Next step
1. Please provide the proper cell candidate on below variables:
3. After giving the inverter list, please set a higher priority to the
B056 83
Tweaker™ Blocking Codes Version T-2022.03
B057
# Blocked by Worst Slack impact
Description
During pin-swap ECO operation, if the WNS of the setup path is getting worsen,
Users are able to perform pin-swap ECO operation by using below variable:
Next step
1. Please set a smaller value to below variable:
(Aggressive)
B057 84
Tweaker™ Blocking Codes Version T-2022.03
B058
# Blocked by the disabled design
Description
When the instance or net is located at the design that is not listed in
the fixing design-list, then Tweaker will not perform the ECO operation,
Users are able to specify the design to be fixed within Tweaker by listing
Next step
Please specify the required design list on below variable:
B058 85
Tweaker™ Blocking Codes Version T-2022.03
B059
# Blocked by the slkfix -module_list
Description
When the instance or net is located at the design that is not listed in
the fixing module list, then Tweaker will not perform the ECO operation,
Users are able to specify the module to be fixed within Tweaker by listing
Tweaker doesn't allow ECO if violation path is not in the specified module list.
Next step
Please specify the module name into below variable:
B059 86
Tweaker™ Blocking Codes Version T-2022.03
B059 87
Tweaker™ Blocking Codes Version T-2022.03
B060
# Blocked by max dummy load cell count/distance limit
Description
During dummy-load insertion operation for hold autofix, if the number of
user-specified value, then Tweaker will not perform the ECO operation
Next step
Please specify a larger value to below variables:
set slk_max_dummy_load_cell_count 10
set slk_max_dummy_load_cell_distance 15
B060 88
Tweaker™ Blocking Codes Version T-2022.03
B061
# Blocked by SI net (add dummy load)
Description
Tweaker can't insert dummy cell if violation paths have SI impact.
Next step
N/A
B061 89
Tweaker™ Blocking Codes Version T-2022.03
B062
# Blocked by Tweaker don't touch cells setting
Description
During vt-swap and sizing operation, Tweaker will not perform the ECO operation
"Don't-touch" attribute can either come from its corresponding LIB file,
or
Next step
1. Report cell-name whose have "don't-touch cell" attribute
report_dont_touch_cell
report_dont_touch_cell_by_sdc
B062 90
Tweaker™ Blocking Codes Version T-2022.03
or
B062 91
Tweaker™ Blocking Codes Version T-2022.03
B063
# Blocked by Tweaker don't touch cell on instance setting
Description
During sizing/vt-swap operation, if the cell have the "dont-touch cell"
attribute, then Tweaker will not perform the ECO operation on it,
or
Next step
1. Report dont-touch instance name by using below command:
report_dont_touch_instance
or
B063 92
Tweaker™ Blocking Codes Version T-2022.03
B064
# Blocked by Tweaker doesn't touch power switch net
Description
During insertion operation, Tweaker will not perform the ECO operation
Next step
Disable dont-touch setting on power switch nets by using below variable:
B064 93
Tweaker™ Blocking Codes Version T-2022.03
B065
# Blocked by Tweaker doesn't touch tie cell net
Description
During insertion operation, Tweaker will not perform the ECO operation
Next step
Disable dont-touch setting on the nets that is connected to tie cell by
B065 94
Tweaker™ Blocking Codes Version T-2022.03
B066
# Blocked by Tweaker doesn't touch always on conflict net
Description
By default, Tweaker will not perform any repeater or delay insertion on the
to two or more sink pins that is located at the same power domain,
(some of them may have "always-on" attribute cells, while the others
Next step
1. Please disable the ability to dont-touch the always-on conflict nets
2. Also please provide both always-on and normal cell into below corresponding
variables:
B066 95
Tweaker™ Blocking Codes Version T-2022.03
B066 96
Tweaker™ Blocking Codes Version T-2022.03
B067
# Blocked by slack not improved
Description
During autofix, Tweaker will not perform the ECO operation if the post-eco
Users are able to set the minimum improvement requirement by using below
variables:
a. Sizing operation:
b. By-pass operation:
(default: 0.01)
(default: 0.1)
Next step
Set a smaller value to below corresponding variables:
B067 97
Tweaker™ Blocking Codes Version T-2022.03
a. Sizing operation:
b. By-pass operation:
B067 98
Tweaker™ Blocking Codes Version T-2022.03
B068
# Blocked by violation count not improved
Description
There will be two scenario that will lead to this blocking code:
Tweaker will only allow the ECO operation to be done if it will reduce
Next step
1. Disable Clock ECO with NFE reduction targeting run.
or
B068 99
Tweaker™ Blocking Codes Version T-2022.03
B069
# Blocked by violation count not improved
Description
This is an obsolete blocking message.
Next step
N/A
B069 100
Tweaker™ Blocking Codes Version T-2022.03
B070
# Blocked by pins name mismatch
Description
During sizing, vt-swap, or pin-swap ECO operation, when the pin-name between
the original cell and the candidate ECO cell is mismatched, Tweaker will not
perform the ECO operation and will report this blocking message.
Next step
1. Please check the given mapping rule.
2. Please check the LIB and LEF files of the corresponding cell.
B070 101
Tweaker™ Blocking Codes Version T-2022.03
B071
# Blocked by assign net
Description
During insertion operation, Tweaker will not perform ECO operation on the net
Next step
Disable below dont-touch on the assigned-net by using below variables:
or
B071 102
Tweaker™ Blocking Codes Version T-2022.03
B072
# Blocked by no watch clock as data
Description
When the instance is connected from a clock generated cell and to a data pin
Next step
Please allow Tweaker to fix on the "clock-as-data" violated path by using
below variable:
B072 103
Tweaker™ Blocking Codes Version T-2022.03
B073
# Blocked by twf clock pin
Description
By default, Tweaker will not touch any clock pins during any ECO operation.
Next step
Enable Tweaker to touch clock tree by using below corresponding variables:
B073 104
Tweaker™ Blocking Codes Version T-2022.03
B074
# Blocked by net connected to clock pin
Description
During delay insertion operation for hold autofix, Tweaker doesn't
Next step
Enable Tweaker to touch clock tree by using below corresponding variables:
B074 105
Tweaker™ Blocking Codes Version T-2022.03
B075
# Blocked by net connected to IP block input pin
Description
This is an obsolete blocking code.
Next step
N/A
B075 106
Tweaker™ Blocking Codes Version T-2022.03
B076
# Blocked by slk_auto_sizing_within_instance_list
Description
The blocked pin is not within the given specified pin list.
During DRV (max-trans, max-cap, or noise) autofix, users are able to perform
or
Next step
1. Please specify the blocked violation pin into the list that pointed on
or
B076 107
Tweaker™ Blocking Codes Version T-2022.03
B076 108
Tweaker™ Blocking Codes Version T-2022.03
B077
# Blocked by slk_bypass_within_instance_list
Description
The blocked instance is not within the given specified instance list.
Specifies the list that contains instance name by using below variable:
Next step
1. Please add the blocked instance into the list that is pointed in below
variable:
B077 109
Tweaker™ Blocking Codes Version T-2022.03
B078
# Blocked by slk_bypass_preserve_instance_list
Description
The blocked instance is within the given preserve specified list.
During by-pass ECO operation, users are able give a list that contains
the instance names that need to be preserved, so that Tweaker will not
Specifies the list that contains instance name by using below variable:
Next step
1. Please remove the blocked instance from the list that is pointed in below
variable:
below variable:
B078 110
Tweaker™ Blocking Codes Version T-2022.03
B079
# No Timing Arc
Description
Tweaker will not perform any ECO operation on the instance or net that is
connected to the instance without complete timing arc within its corresponding
LIB files.
Next step
Please check the corresponding instance LIB files.
B079 111
Tweaker™ Blocking Codes Version T-2022.03
B080
# Driving slack is not improved
Description
During sizing operation for DRV (max-trans, max-cap, or noise) autofix,
Tweaker will not perform the ECO operation if the driving slack of
Next step
Disable driving slack checking function by using below corresponding
variables:
B080 112
Tweaker™ Blocking Codes Version T-2022.03
B081
# Blocked by SI Prevention
Description
During all type of autofix, Tweaker will not perform the ECO operation if it
Next step
Please disable the SI aware/SI prevention function to corresponding variables
below:
B081 113
Tweaker™ Blocking Codes Version T-2022.03
B081 114
Tweaker™ Blocking Codes Version T-2022.03
B082
# Blocked by (not) Always On issue
Description
During repeater or delay insertion operation for setup and hold autofix,
perform the insertion on the always-on domain, then Tweaker will need
always-on cell.
When Tweaker cannot find any suitable always-on cell in the repeater or
Next step
1. Please specify always-on cell into below corresponding variables:
the always-on cells, it means that the given always-on candidate is not
suitable for the insertion. Hence, please provide always-on buffer with
B082 115
Tweaker™ Blocking Codes Version T-2022.03
B082 116
Tweaker™ Blocking Codes Version T-2022.03
B083
# Blocked by multi-driver net
Description
Tweaker will not perform any repeater or delay insertion operation on
Next step
Please check the connection of the net in its corresponding NETLIST or
B083 117
Tweaker™ Blocking Codes Version T-2022.03
B084
# Blocked by INOUT pin
Description
By default, Tweaker will not perform repeater or delay insertion on the net
Next step
Please check the connection of the net in the its corresponding NETLIST or
B084 118
Tweaker™ Blocking Codes Version T-2022.03
B085
# Blocked by multi-output cell
Description
This is an obsolete blocking code.
Next step
N/A
B085 119
Tweaker™ Blocking Codes Version T-2022.03
B086
# Blocked by fix setup/cons without sizing down
Description
By default, during DRV (max-trans, max-cap, or noise) and setup autofix,
Next step
Please set enable sizing down operation by using corresponding variables below:
It's highly recommended to also control the sizing level while allowing
sizing down operation for DRV and setup autofix by using below variable:
set slk_auto_sizing_level 2
B086 120
Tweaker™ Blocking Codes Version T-2022.03
B087
# Blocked by fix hold without sizing up
Description
By default, during hold autofix, Tweaker will not allow any sizing-up operation.
Next step
Please set enable sizing up operation by using corresponding variables below:
It's highly recommended to also control the sizing level while allowing
set slk_auto_sizing_level 2
B087 121
Tweaker™ Blocking Codes Version T-2022.03
B088
# Blocked by bypass buffer disable new port
Description
By default, Tweaker will not perform any by-pass operation if
Next step
Please enable by-pass buffer even if Tweaker will need to create new port
B088 122
Tweaker™ Blocking Codes Version T-2022.03
B089
# Blocked by bypass buffer disable new assign
Description
By default, Tweaker will not perform any by-pass operation if
Next step
Please enable by-pass buffer even if Tweaker will need to create new assigned
B089 123
Tweaker™ Blocking Codes Version T-2022.03
B090
# Blocked by don't touch padding instance
Description
Tweaker doesn't allow sizing cell if cell has been set as cell padding.
Next step
Disable dont-touch cell padding instance by using below variable:
B090 124
Tweaker™ Blocking Codes Version T-2022.03
B091
# Blocked by hfi keep driver net name
Description
During insertion autofix, Tweaker will keep the driver's net name.
Tweaker will not perform the repeater insertion if the driver's net will be
Next step
Please disable the keep driver net name function by using below variable:
B091 125
Tweaker™ Blocking Codes Version T-2022.03
B092
# Blocked by hfi peer hold margin
Description
During delay insertion operation for hold autofix, Tweaker will not perform
the ECO operation if the peer that is connected to the inserted net doesn't
Next step
Please enlarge the hold grouping margin by
set slk_hold_target_slk 0
B092 126
Tweaker™ Blocking Codes Version T-2022.03
B093
# Blocked by slk fix si only
Description
Tweaker doesn't allow any other autofix other than SI fixing,
Users are able to perform "fix SI only" autofix by using below variable:
Next step
Please disable "fix SI only" function by using below variable:
B093 127
Tweaker™ Blocking Codes Version T-2022.03
B094
# Blocked by slk fix keep pin geometry
Description
Tweaker cannot perform the ECO operation when user enable "keep pin geometry"
function.
Users are able to enable the "keep pin geometry" function to keep the cell pin
Next step
Please disable "keep pin geometry" function by using below variable:
B094 128
Tweaker™ Blocking Codes Version T-2022.03
B095
# Blocked by leakage power not improved
Description
This blocking code is for older Power ECO version of 2010.
Next step
Please check the given mapping rule.
B095 129
Tweaker™ Blocking Codes Version T-2022.03
B096
# Blocked by output floating
Description
Tweaker will not perform the insertion operation on the cell with output
floating connection.
Next step
Please check the connection of the blocked instance/pin in its corresponding
B096 130
Tweaker™ Blocking Codes Version T-2022.03
B097
# Blocked by instance overlap with boundary
Description
Tweaker will only touch the cells which place inside of window but will
not touch the cells which place on the window boundary. Usually the window
Next step
Please check the window which specified by command:
B097 131
Tweaker™ Blocking Codes Version T-2022.03
B098
# Blocked by delete inverter
Description
During by-pass ECO operation, Tweaker will not perform the ECO operation
Next step
N/A
B098 132
Tweaker™ Blocking Codes Version T-2022.03
B099
# Blocked by predicted slack not improved
Description
To reduce the ECO runtime, by default, Tweaker will enable the prediction
algorithm, hence Tweaker will not really try all available candidate
one-by-one.
When predicted slack value after swapping the candidate is smaller than
the allowed minimum improvement, then Tweaker will not perform the ECO operation.
Next step
1. Please set a smaller allowed improvement to be done for each ECO
2. For sizing operation, users are disable the prediction function in Tweaker
B099 133
Tweaker™ Blocking Codes Version T-2022.03
B099 134
Tweaker™ Blocking Codes Version T-2022.03
B100
# Blocked by twf file not ready
Description
During TWF-based fixing, if TWF is not fed into Tweaker, then Tweaker
will not perform the autofix, and will report this blocking message.
Next step
Please provide TWF file into Tweaker by using below command:
[-type sdf_min|sdf_typ|sdf_max]
[-min_type sdf_min|sdf_typ|sdf_max]
[-max_type sdf_min|sdf_typ|sdf_max]
[-si_analysis_type single|bc_wc|on_chip_variation]
[-si_type sdf_min|sdf_typ|sdf_max]
[-si_min_type sdf_min|sdf_typ|sdf_max]
[-si_max_type sdf_min|sdf_typ|sdf_max]
file_name_list
B100 135
Tweaker™ Blocking Codes Version T-2022.03
[-hier_prefix hier_prefix_list]
B100 136
Tweaker™ Blocking Codes Version T-2022.03
B101
# Blocked by extract setup margin trans
Description
During extract-setup-margin ECO operation, users are able to specify
the ECO to be done when the transition value is within the allowed transition
value.
Next step
Loosen the DRV constraint in Tweaker by increase the value of below variable:
B101 137
Tweaker™ Blocking Codes Version T-2022.03
B102
# HFS: DEF not ready
Description
During max-trans autofix, while performing repeater insertion ECO, Tweaker
Hence, when DEF file is not imported in to Tweaker or when Tweaker expects
to insert on the net that is connected to any pin whose location is missing
from DEF file, Tweaker will not perform this ECO operation.
Next step
1. Please check the existence of the DEF file. To import DEF file into
2. Please check the location of the blocked pin within the given DEF file.
B102 138
Tweaker™ Blocking Codes Version T-2022.03
B103
# HFS: Unfixable design region
Description
Tweaker is unable to perform the autofix in the design(s)
Next step
Allow Tweaker to fix on the other design by specifying them on below command:
B103 139
Tweaker™ Blocking Codes Version T-2022.03
B104
# HFS: Global route is failed
Description
During repeater insertion for max-trans autofix, Tweaker cannot perform
Next step
1. Please review the physical condition around the blocked instance.
B104 140
Tweaker™ Blocking Codes Version T-2022.03
B105
# HFS: Buffer is weak
Description
When none of the given repeater list is having enough driving capability,
then Tweaker will not perform the insertion operation, and will report
Next step
Please provide buffer with stronger driving capability to corresponding
variables below:
B105 141
Tweaker™ Blocking Codes Version T-2022.03
B106
# HFS: No proper cell in always on conflict power domain
Description
If Tweaker can not find the proper cell to be inserted into
will not perform the ECO operation, and will report this blocking
message.
Next step
Please give both normal buffer/inverter and always-on buffer/inverter
BUFX4 BUFX8 }
INVX4 INVX8 }
AON_CKBUFX8 \
B106 142
Tweaker™ Blocking Codes Version T-2022.03
CKBUFX4 CKBUFX8 }
AON_CKINVX8 CKINVX4\
CKINVX8 }
AON_ANDX4 AON_ANDX8 \
B106 143
Tweaker™ Blocking Codes Version T-2022.03
B107
# HFS: Output load is larger than max cap of the buffers
Description
If Tweaker detects that the max capacitance value(s) of the given repeater(s)
list is smaller than the total capacitance (loading) of the connected sink
Next step
Please give stronger repeater candidates by using below variable:
B107 144
Tweaker™ Blocking Codes Version T-2022.03
B108
# No compatible buffer cell found
Description
When Tweaker finds the need to insert an always-on cells on the violated net
if Tweaker can not find the required always-on buffer in the candidate
list, Tweaker will not perform the ECO operation, and will report this
blocking message.
Next step
Please provide the required always-on cell and normal cell into corresponding variables:
BUFX4 BUFX8 }
INVX4 INVX8 }
AON_CKBUFX8 \
CKBUFX4 CKBUFX8 }
AON_CKINVX8 \
B108 145
Tweaker™ Blocking Codes Version T-2022.03
CKINVX4 CKINVX8 }
AON_ANDX4 AON_ANDX8 \
B108 146
Tweaker™ Blocking Codes Version T-2022.03
B109
# HFS: Add buffer instance fail
Description
This is an obsolete blocking message.
Next step
N/A
B109 147
Tweaker™ Blocking Codes Version T-2022.03
B110
# HFS: No resource in the preferred region
Description
1. During normal repeater insertion:
Tweaker cannot find any free space within the given search range.
Tweaker cannot find any free space within the given search range around
(a) Tweaker cannot find any free space within the given search range.
Next step
1. During normal repeater insertion:
B110 148
Tweaker™ Blocking Codes Version T-2022.03
or
(b) Please specified the hierarchical sink pin name into the specified-pin-
list below:
or
Without giving the violated sink pin name into specified-pin-list, users are
also able to let Tweaker to directly trace the driving pin by using below
variable:
B110 149
Tweaker™ Blocking Codes Version T-2022.03
B111
# HFS: No unplaced buffer instance is preferred
Description
This is an obsolete blocking message.
Next step
N/A
B111 150
Tweaker™ Blocking Codes Version T-2022.03
B112
# HFS: Driver of net is unplaced
Description
During max-trans autofix, if the driver of the inserted net is an unplaced
Next step
Please check the physical location of the instance in the DEF file.
B112 151
Tweaker™ Blocking Codes Version T-2022.03
B113
# HFS: Driver is strong
Description
Driver cell is strong enough and violation has been fixed.
Next step
N/A
B113 152
Tweaker™ Blocking Codes Version T-2022.03
B114
# HFS: Sink pins are dispersive
Description
This is an obsolete blocking message.
Next step
N/A
B114 153
Tweaker™ Blocking Codes Version T-2022.03
B115
# HFS: Path is through inout pin
Description
During max-trans autofix, if Tweaker detects the net is connected to
inout pin, then Tweaker will not perform the insertion operation,
Next step
N/A
B115 154
Tweaker™ Blocking Codes Version T-2022.03
B116
# HFS: Solution is worse
Description
During max-trans autofix, if Tweaker detects that the inserted repeater will
worsen the original violated pin, Tweaker will not perform the ECO operation.
Next step
Please provide repeater with better driving capability by using below
variable:
ANDX16}
B116 155
Tweaker™ Blocking Codes Version T-2022.03
B117
# HFS: Invalid net
Description
During max-trans autofix, when Tweaker detects invalid net, such as
multi-driver net, Tweaker will not perform the ECO operation and
Next step
Please check the net connection in the Tweaker Schematic View, Physical View,
B117 156
Tweaker™ Blocking Codes Version T-2022.03
B118
# HFS: DB error
Description
When there's missing information in Tweaker DB, Tweaker cannot perform
Next step
Please check the condition of the reported instance within its corresponding
B118 157
Tweaker™ Blocking Codes Version T-2022.03
B119
# HFS: DB place buffer instance error
Description
This is an obsolete blocking message.
Next step
N/A
B119 158
Tweaker™ Blocking Codes Version T-2022.03
B120
# HFS: DB error add instance
Description
When there's missing information in Tweaker DB, Tweaker cannot perform
Next step
Please check the condition of the reported instance within its corresponding
B120 159
Tweaker™ Blocking Codes Version T-2022.03
B121
# HFS: unknown error
Description
This is an obsolete blocking message.
Next step
N/A
B121 160
Tweaker™ Blocking Codes Version T-2022.03
B122
# Blocked by don't touch data path
Description
Tweaker will perform any ECO operation on the path with dont-touch setting
attribute.
Users are able to set dont-touch setting on some specific path by using below
variables:
Next step
Please disable the ability of dont-touch data path by using below variables:
B122 161
Tweaker™ Blocking Codes Version T-2022.03
B123
# Blocked by don't touch net
Description
Tweaker will not allow any insertion on the net that contains "dont-touch"
attribute.
or
The don't touch can also be given by applying don't touch assign net:
Next step
Remove dont-touch attibute by using below command:
or
or
B123 162
Tweaker™ Blocking Codes Version T-2022.03
B124
# Blocked by broken path
Description
When Tweaker detects the data inconsistency between NETLIST and violation
In order to generate save ECO result, by default, Tweaker will not touch
the inconsistence instance within broken path during any ECO operation.
Next step
Force Tweaker to fix broken path by using below variable:
B124 163
Tweaker™ Blocking Codes Version T-2022.03
B125
# Blocked by auto-sizing level
Description
Users are allowed to control (limit) the sizing level increment or decrement
If Tweaker tried to size a cell and exceed this limitation, Tweaker will only
swap the cell until the maximum limit while reporting this blocking message.
Next step
Set a higher value to below command or variable:
B125 164
Tweaker™ Blocking Codes Version T-2022.03
B126
# Blocked by auto-sizing area ratio
Description
Users are allowed to control (limit) the sizing area increment or decrement
If Tweaker tried to size a cell and exceed this limitation, Tweaker will only
swap the cell until the maximum limit while reporting this blocking message.
Next step
Set a higher value to below command or variable:
B126 165
Tweaker™ Blocking Codes Version T-2022.03
B127
# Blocked by 3rd power domain restriction
Description
In Tweaker, the third power domain is domain that is not either sink's or
This default behavior will avoid any possibility of certain low power rules
Next step
Please enable insertion on the third power domain in Tweaker by using below
variable:
B127 166
Tweaker™ Blocking Codes Version T-2022.03
B128
# Blocked by interface(-if/-inv_if) slkfix
Description
During autofix, users are able specify if we want to fix the interface or
[ -if | -inv_if ]
If some paths are not within the specified fixing region, then Tweaker will
Next step
1. Please review if the remaining violations are within the expected fixing
-all
B128 167
Tweaker™ Blocking Codes Version T-2022.03
B129
# Blocked by slkfix -inv_if option
Description
During autofix, users are able specify if we want to fix the non-interface
[ -inv_if ]
Next step
1. Please review if the remaining violations are within the expected fixing
-all
B129 168
Tweaker™ Blocking Codes Version T-2022.03
B130
# Blocked by sizing FF only
Description
During sizing autofix, users are allowed to only allow Tweaker to size up/down
Next step
Disable the auto-sizing on flip-flop only by using below variable:
B130 169
Tweaker™ Blocking Codes Version T-2022.03
B131
# Blocked by slkfix -clock_group
Description
During autofix, users are allowed to fix the violation or optimize the
[-twf_clock_group_inv clock_lists]
and
For the violation or instance that is not within the specified twf-based
Next step
1. Please review if the remaining violations are within the expected fixing
-all
and
slkfix -power_eco
B131 170
Tweaker™ Blocking Codes Version T-2022.03
B131 171
Tweaker™ Blocking Codes Version T-2022.03
B132
# Blocked by don't touch unrecognized bus
Description
During autofix, Tweaker will not touch any unrecognized bus pin.
Next step
1. Please check the bus pin definition in its corresponding LIB, LEF,
and NETLIST.
B132 172
Tweaker™ Blocking Codes Version T-2022.03
B133
# Blocked by keep one buffer for back to back
Description
During area-recovery autofix, users are able to keep at least one buffer
Tweaker will not perform the ECO operation and give this blocking message.
Next step
Disable this function by setting below variable:
B133 173
Tweaker™ Blocking Codes Version T-2022.03
B134
# Blocked by worst hold impact (minimize worst slack)
Description
During hold autofix, users are able to restrict Tweaker to perform the ECO
operation as long as it will not impact (worsen) the hold WNS by using below
variable:
Hence, when Tweaker detects the ECO operation will worsen the hold WNS,
Tweaker will not perform the ECO operation, and will give this blocking message.
Next step
Please use below variable to disable watch hold WNS function:
When this variable is turn to false, Tweaker will perform the ECO operation
B134 174
Tweaker™ Blocking Codes Version T-2022.03
B135
# Blocked by max over fixing
Description
This blocking message can be seen on two autofix scenario:
While performing delay insertion during hold autofix, Tweaker will not
2. Bus balance:
While reducing the delay of the longest path, Tweaker will not allow if
the post-eco arrival time is shorter than the delay of the shortest
path.
While increasing the delay of the shortest path, Tweaker will also not
allow if the post-eco arrival time is longer than the delay of the
longest path.
When the post-eco delay is exceeding above criteria, Tweaker will not
perform the ECO operation and Tweaker will give this blocking message.
B135 175
Tweaker™ Blocking Codes Version T-2022.03
While the post-eco skew between longest path and the shortest path is
smaller than the specified value, Tweaker will not perform the ECO
Next step
1. Delay insertion during hold autofix:
None.
B135 176
Tweaker™ Blocking Codes Version T-2022.03
B136
# Blocked by missing lib
Description
Tweaker cannot perform any ECO operation on the pin whose does not have any
timing arc within its corresponding LIB file since Tweaker will need those
Next step
1. Please provide the corresponding LIB files for Tweaker.
2. Please check the timing arc of the corresponding pin in the LIB file.
B136 177
Tweaker™ Blocking Codes Version T-2022.03
B137
# Blocked by don't touch IP block pin
Description
When an instance does not have Netlist, DEF, and LIB file, it will become an
IP block in Tweaker.
By default, Tweaker will not touch the instance that is connected to the IP
block since Tweaker cannot calculate the delay due to the missing LIB file.
Next step
Please give the LIB file of the corresponding IP block.
B137 178
Tweaker™ Blocking Codes Version T-2022.03
B138
# Blocked by instance not in specified window
Description
Users are able to command Tweaker to perform the autofix within
slkfix -add_window x1 y1 x2 y2
Next step
Disable the window-based autofix by using below command:
B138 179
Tweaker™ Blocking Codes Version T-2022.03
B139
# Blocked by SDC constraint (NET)
Description
During by-pass or delete buffer ECO operation, if Tweaker detects the
connected net is SDC constrained net, then Tweaker will not perform the ECO
operation.
This way Tweaker can reduce the possibility of removing the SDC constrained
net.
Next step
1. Remove the constraint net in the STA session before generating Tweaker
TWF.
2. User are also able to ignore SDC constraint by not dumping out the SDC
file:
set DUMP_SDC_COMP 0
<tweaker_installation_dir>/etc/scripts/tcl/pt/twfout.tcl
B139 180
Tweaker™ Blocking Codes Version T-2022.03
B140
# Blocked by SDC constraint (PIN)
Description
During by-pass or delete buffer ECO operation, if Tweaker detects the cell
pin or the connected pin is SDC constrained net, then Tweaker will not
This way Tweaker can reduce the possibility of removing the SDC constrained
pin.
Next step
1. Remove the constraint pin in the STA session before generating Tweaker
TWF.
2. User are also able to ignore SDC constraint by not dumping out the SDC
file:
set DUMP_SDC_COMP 0
<tweaker_installation_dir>/etc/scripts/tcl/pt/twfout.tcl
B140 181
Tweaker™ Blocking Codes Version T-2022.03
B141
# Blocked by hold slack out of range
Description
During hack-sdf operation, user may use "-slack_range max_slack_value
Tweaker.
While Tweaker tried to fix the hold violated path whose slack value is out
of the specified slack value range, then Tweaker will report this blocking
message.
Next step
Please give a larger slack range value:
B141 182
Tweaker™ Blocking Codes Version T-2022.03
B142
# Blocked by setup slack out of range
Description
During hack-sdf operation, user may use "-slack_range max_slack_value
Tweaker.
While Tweaker tried to fix the setup violated path whose slack value is out
of the specified slack value range, then Tweaker will report this blocking message.
Next step
Please give a larger slack range value:
B142 183
Tweaker™ Blocking Codes Version T-2022.03
B143
# Blocked by auto-sizing driving cap ratio
Description
During sizing ECO, users are allowed to set the driving cap ratio by using
below command:
set_slk_auto_sizing_driving_cap_ratio value
If the driving cap value of the sized cell is larger than the multiple ratio
result that specified in this variable, then Tweaker will not perform the
Next step
Please set a larger value to below command:
B143 184
Tweaker™ Blocking Codes Version T-2022.03
B144
# Blocked by fix DRV for specified pins only
Description
During DRV (max-trans/max-cap/noise) autofix, users are able to fix within
or
Moreover, users have to set below variable to enable the pin-list-based autofix:
If the violated pin is not within both of the pin lists, then Tweaker will not
perform the autofix and Tweaker will report this blocking message.
Next step
1. Please put the blocked pin name into the specified list in below variable:
or
B144 185
Tweaker™ Blocking Codes Version T-2022.03
B144 186
Tweaker™ Blocking Codes Version T-2022.03
B145
# Blocked by vt width rule not applied
Description
Users are allowed to specify the min-vt-width rule in Tweaker by using below command:
[-verbose]
Tweaker intends to touch during the autofix, then Tweaker will not perform
Next step
1. Report the existing min-vt-width rule by using below variable:
report_min_vt_width_rules
2. Please apply the min-vt-width to the reported cell by using below command:
[-verbose]
B145 187
Tweaker™ Blocking Codes Version T-2022.03
B146
# Blocked by watch setup TNS limit
Description
During Clock-ECO, users are allowed to perform the autofix while monitoring
When this variable is set to true, Tweaker will not perform the ECO operation
Next step
Disable the ability to watch setup TNS by using below variable:
B146 188
Tweaker™ Blocking Codes Version T-2022.03
B147
# Blocked by watch setup NFE limit
Description
During Clock-ECO, users are allowed to perform the autofix while monitoring
When this variable is set to true, Tweaker will not perform the ECO operation
Next step
Disable the ability to watch setup NFE by using below variable:
B147 189
Tweaker™ Blocking Codes Version T-2022.03
B148
# Blocked by watch hold TNS limit
Description
During Clock-ECO, users are allowed to perform the autofix while monitoring
When this variable is set to true, Tweaker will not perform the ECO operation
Next step
Disable the ability to watch hold TNS by using below variable:
B148 190
Tweaker™ Blocking Codes Version T-2022.03
B149
# Blocked by watch hold NFE limit
Description
During Clock-ECO, users are allowed to perform the autofix while monitoring
When this variable is set to true, Tweaker will not perform the ECO operation
Next step
Disable the ability to watch hold NFE by using below variable:
B149 191
Tweaker™ Blocking Codes Version T-2022.03
B150
# Blocked by don't touch assign net
Description
By default, Tweaker will not touch assign net.
Next step
Disable dont-touch on the assign net by using below variable:
set_dont_touch_assign_net false
B150 192
Tweaker™ Blocking Codes Version T-2022.03
B151
# Blocked by congestion limit
Description
During insertion ECO, users are allowed to calculate the congestion of the
[-bottom_routing_layer bottom_layer]
Hence, when Tweaker intend to insert ECO cell on the region with congestion
percentage larger than the specified high_ratio value, Tweaker will not
perform the ECO operation, and will report this blocking message.
Next step
1. Set a larger congestion threshold by using below variable:
B151 193
Tweaker™ Blocking Codes Version T-2022.03
B152
# Blocked by abutment rule limit
Description
While the ECO cell or existing cell is violating the abutment rule, Tweaker
will not perform the ECO operation and will report this blocking message.
Next step
1. Perform legalization in Tweaker by using below command:
-all_placement_violation [-force]
2. Report the instances with violated "abutment" rule by using below command:
[-eco_cell] [-vertical]
[-both] [-highlight]
[-highlight_rule_only]
B152 194
Tweaker™ Blocking Codes Version T-2022.03
[-only_rule]
B152 195
Tweaker™ Blocking Codes Version T-2022.03
B153
# Blocked by vertical abutment rule limit
Description
While the ECO cell or existing cell is violating the "vertical abutment"
rule, Tweaker will not perform the ECO operation and will report this
blocking message.
Users are able to enable "vertical abutment" rule by using below variable:
Next step
1. Perform legalization in Tweaker by using below command:
-all_placement_violation [-force]
below command:
[-eco_cell] [-vertical]
B153 196
Tweaker™ Blocking Codes Version T-2022.03
[-both] [-highlight]
[-highlight_rule_only]
[-only_rule]
B153 197
Tweaker™ Blocking Codes Version T-2022.03
B154
# Blocked by VT width/spacing rule limit
Description
While the ECO cell or existing cell is violating the VT-width rules, Tweaker
will not perform the ECO operation and will report this blocking message.
Users are allowed to set the VT width rule and spacing-rule by using below
commands:
Enables the ability to import VT width/spacing rule from LEF file into
[-rule_name rule_name]
B154 198
Tweaker™ Blocking Codes Version T-2022.03
[-verbose]
[-verbose]
[-exception exceptions]
Next step
VT width rule:
[-undefined] [-only_rule]
command:
B154 199
Tweaker™ Blocking Codes Version T-2022.03
[-eco_cell] [-highlight]
Spacing rule:
command:
[-eco_cell] [-vertical]
[-both] [-highlight]
[-highlight_rule_only]
[-only_rule]
B154 200
Tweaker™ Blocking Codes Version T-2022.03
B155
# Blocked by TPO rule limit
Description
While the ECO cell or existing cell is violating TPO rules, Tweaker will not
perform the ECO operation and will report this blocking message.
Users are allowed to set the TPO rule by using below command:
Enables the ability to import TPO rule from LEF file into Tweaker:
[-rule_name rule_name]
Next step
1. Reporting TPO rule by using below command:
B155 201
Tweaker™ Blocking Codes Version T-2022.03
[-only_rule]
2. Reporting the instances with violated TPO rule by using below command:
[-highlight]
B155 202
Tweaker™ Blocking Codes Version T-2022.03
B156
# Blocked by VT area limit
Description
While the ECO cell or existing cell is violating VT area rules, Tweaker will
not perform the ECO operation and will report this blocking message.
Users are allowed to set the VT width rule and spacing-rule by using below
commands:
[-silent]
Users are allowed to set the VT width rule and spacing-rule by using below
commands:
Next step
Report the instance with violated VT area rule by using below command:
report_min_vt_area_violation
B156 203
Tweaker™ Blocking Codes Version T-2022.03
B157
# Blocked by bound constraint limit
Description
If the fixing the existing cell or inserting new ECO cell will violate the
bound constraint rule, Tweaker will not perform the ECO operation, and will
Next step
1. Dumping the existing bounds in the design by using below command:
B157 204
Tweaker™ Blocking Codes Version T-2022.03
2. Report the instances with violated bound rule by using below command:
[-eco_cell] [-highlight]
[-highlight_rule_only]
B157 205
Tweaker™ Blocking Codes Version T-2022.03
B158
# Blocked by filler1 rule limit
Description
While the ECO cell or existing cell is violating the filler1 rules, Tweaker
will not perform the ECO operation and will report this blocking message.
Users are able to set Tweaker to honor filler1 rule by using below variable:
Next step
1. Perform legalization in Tweaker by using below command:
-all_placement_violation [-force]
2. Report the instances with violated filler1 rule by using below command:
B158 206
Tweaker™ Blocking Codes Version T-2022.03
B159
# Blocked by cell/instance padding limit
Description
While the ECO cell or existing cell is violating cell or instance padding
rules, Tweaker will not perform the ECO operation and will report this
blocking message.
B159 207
Tweaker™ Blocking Codes Version T-2022.03
Next step
1. Reporting the instances with violated padding rule by using below
command:
report_cell_padding cell_name_list
report_instance_padding instance_name_list
set_cell_padding
set_instance_padding
B159 208
Tweaker™ Blocking Codes Version T-2022.03
B160
# Blocked by don't touch net routing
Description
If the ECO operation will be done on the net-routing that has dont-touch
attribute, then Tweaker will not perform the ECO operation, and will report
Users are able to set a dont-touch attribute for some specific net routing
[-quiet]
[-quiet]
Next step
Reports dont-touch net routing by using below command:
report_dont_touch_net_routing
B160 209
Tweaker™ Blocking Codes Version T-2022.03
B161
# Blocked by driving DRV fail
Description
During DRV fixing, Tweaker will check the post-ECO DRV value of the driver
pin. When the DRV value is exceeding the constrained DRV value, Tweaker will
not perform the ECO operation and will report this blocking message.
Users are allowed to set the DRV constraint in Tweaker by using below
commands:
[-unconstraint_pins]
[-unconstraint_pins]
Next step
1. Disable all DRV checking:
B161 210
Tweaker™ Blocking Codes Version T-2022.03
report_drv_factor
report_drv_value
B161 211
Tweaker™ Blocking Codes Version T-2022.03
B162
# Blocked by cell pin overlapped power strap
Description
While the ECO cell or existing cell is violating the "vertical/cell pin and
power strap non-overlapping" rule, Tweaker will not perform the ECO
Users are able to enable the "vertical/cell pin and power strap non-
Next step
1. Perform legalization in Tweaker by using below command:
-all_placement_violation [-force]
2. Report the instances with violated "vertical/cell pin and power strap
B162 212
Tweaker™ Blocking Codes Version T-2022.03
[-highlight]
[-highlight_rule_only]
[-highlight]
[-highlight_rule_only]
B162 213
Tweaker™ Blocking Codes Version T-2022.03
B163
# Blocked by cell pin track color mismatch
Description
While the ECO cell or existing cell is violating the "vertical/cell pin and
colored track non-overlapping" rule, Tweaker will not perform the ECO
Users are able to enable the "vertical/cell pin and colored track non-
Next step
1. Perform legalization in Tweaker by using below command:
-all_placement_violation [-force]
2. Report the instances with violated "vertical/cell pin and power strap
B163 214
Tweaker™ Blocking Codes Version T-2022.03
[-highlight]
[-highlight_rule_only]
[-highlight]
[-highlight_rule_only]
B163 215
Tweaker™ Blocking Codes Version T-2022.03
B164
# Blocked by missing DEF attribute COMPONENTMASKSHIFT
Description
While the ECO cell or existing cell is missing the COMPONENTMASKSHIFT
attribute rules, Tweaker will not perform the ECO operation and will report
Next step
Please check the COMPONENTMASKSHIFT attribute in the corresponding DEF file.
B164 216
Tweaker™ Blocking Codes Version T-2022.03
B165
# Blocked by routing data not ready
Description
During on-route buffer insertion, if the DEF file does not have the routing
information, then Tweaker will not perform the insertion operation, and will
Users are able to enable the on-route buffer insertion by using below
variable:
Next step
1. Please check the routing data in the DEF file.
B165 217
Tweaker™ Blocking Codes Version T-2022.03
B166
# Blocked by symmetry limit
Description
By default, Tweaker will honor the "SYMMETRY" definition in LEF file. If LEF
Hence, when LEF is missing SYMMETRY information, and above variable is set
to an empty value, Tweaker will report this blocking message when Tweaker
Next step
1. Please provide a proper LEF file that contains SYMMETRY information.
2. Set the default value of SYMMETRY for those cell that is missing the
3. Set SYMMETRY value for some specific cell type by using below command:
B166 218
Tweaker™ Blocking Codes Version T-2022.03
B166 219
Tweaker™ Blocking Codes Version T-2022.03
B167
# Blocked by disable net port
Description
By default, Tweaker will not allow the insertion operation if it will force
Next step
N/A
B167 220
Tweaker™ Blocking Codes Version T-2022.03
B168
# Blocked by dont touch macro pin which undefined always on attribute
Description
When the driver of the net is connected to an always-on cell, meanwhile the
sink pin is a MACRO's pin, Tweaker will need to check the "always-on"
Tweaker will not insert on the net that is connected to the MACRO pin which
of the design.
Next step
Specify the "always-on" or "non-always-on" attribute on the MACRO's pin by
[-quiet]
B168 221
Tweaker™ Blocking Codes Version T-2022.03
B169
# Blocked by hack setup min cell delay
Description
During Hack-SDF operation, Tweaker is unable to fix the path even with the
User are able to set the smallest allowed delay cell by using below
variable:
Next step
Please set a smaller value to below variable:
set slk_hack_setup_min_cell_delay 0
B169 222
Tweaker™ Blocking Codes Version T-2022.03
B170
# Blocked by don't touch complex cell
Description
The listed cell is a complex cell which are not allowed to be touch in
Tweaker by user.
In Tweaker, complex cell is the cell with more than one output pin, except
Next step
Please allow Tweaker to touch the complex cell:
B170 223
Tweaker™ Blocking Codes Version T-2022.03
B171
# Blocked by max fanout value is not defined in lib
Description
During max-fanout autofix, Tweaker will not perform the autofix if the
violated pin doesn't have the max-fanout value defined in the LIB file.
Next step
1. Please set the max-fanout value of the pin by using below Tweaker
command:
B171 224
Tweaker™ Blocking Codes Version T-2022.03
B172
# Blocked by insufficient routing access points on std cell (metal1) pin
Description
For advanced features of 10nm or below, Tweaker will need to calculate the
routing access point of each std cells (including new ECO cells).
Users are able to set this routing access point rule by using below
variable:
When there's no enough accessible routing point then Tweaker will give this
blocking message.
Next step
1. Please give a different candidate cells during sizing / insertion
operation.
B172 225
Tweaker™ Blocking Codes Version T-2022.03
set metal1_pin_access_point_min_count 2
The value on above variable should be the matching with those in the PNR
tool.
4. Please use below command to report the routing access point rule
violation in Tweaker:
B172 226
Tweaker™ Blocking Codes Version T-2022.03
B173
# Blocked by incorrect DEF file
Description
These are blocked by incorrect DEF File.
Next step
(1) Please check the DEF quality Checks using below command:
check_def_quality
B173 227
Tweaker™ Blocking Codes Version T-2022.03
B174
# Blocked by fix dynamic power without sizing up
Description
By default, Tweaker does not allow sizing up during dynamic power eco.
Next step
If we allow cell sizing up to improve dynamic power, please enable
below variable:
B174 228
Tweaker™ Blocking Codes Version T-2022.03
B175
# Blocked by fix dynamic power watch leakage power
Description
Tweaker does not allow leakage impact while dynamic power optimization.
Next step
If we allow leakage impact to improve dynamic power further, Please enable
below variable:
B175 229
Tweaker™ Blocking Codes Version T-2022.03
B176
# Blocked by disable si net sink cell
Description
Tweaker doesn't perform ECO on the cells/paths where sink cells have SI impact.
Next step
We can turn off this variable after setting below variable.
B176 230
Tweaker™ Blocking Codes Version T-2022.03
B177
# Blocked by bad variation
Description
Some cells have similar delay value in min corner but large difference in max corner.
Tweaker can extract setup margin by improving the cell variation further.
If the cell variation is bad which affects setup counter parts, Tweaker does not allow.
Next step
set slk_fix_hold_by_reduce_variation true
B177 231
Tweaker™ Blocking Codes Version T-2022.03
B178
# Blocked by space limit in gate array mode
Description
In metal ECO (Gate array mode), this violation is not fixed because unused
Next step
Please check the following command. The next step should be the same with
B178 232
Tweaker™ Blocking Codes Version T-2022.03
B179
# Blocked by located in clock path
Description
Tweaker detects "clock component" attributes by back-tracing all non "CK"
pins. By default, Tweaker does not touch the cells in clock path.
Next step
By default, Tweaker will not touch clock components during fixing setup and
hold violations.
If user want to touch clock path by regular cell, please enable below
variable:
B179 233
Tweaker™ Blocking Codes Version T-2022.03
B180
# Blocked by don't use cell by supply set
Description
In one power domain, there are many different supply sets and each of them
Next step
The supply set power domain information is from upf. So, user has to check
B180 234
Tweaker™ Blocking Codes Version T-2022.03
B181
# Blocked by don't touch missing lib cone
Description
If lib arc is not available, entire path will be blocked for fixing.
Next step
Please make sure all required libraries have been provided to Tweaker
If user would like to touch cell which has missing lib, please use below
variable:
B181 235
Tweaker™ Blocking Codes Version T-2022.03
B182
# Blocked by incorrect dynamic power corner
Description
For dynamic power calculation, one reference corner is necessary.
The corner database includes lib, rc, sdf, twf and derating setting. Not
only lib group, but also rc and timing info are required for internal power
Next step
1. Please check current dynamic power corner.
printvar slk_dynamic_power_corner
printvar slk_corner_list
For example:
If the dynamic power corner is not exising in corner list, please prepare
B182 236
Tweaker™ Blocking Codes Version T-2022.03
B182 237
Tweaker™ Blocking Codes Version T-2022.03
B183
# Blocked by area recovery fix internal power only
Description
Normally Apply removing redundant buffers/inverter pairs for internal power
optimization. But this blocking code showing remove those cells can't
Next step
Please set the below variable to control area recovery with removing
B183 238
Tweaker™ Blocking Codes Version T-2022.03
B184
# Blocked by on-route enabled (HBI)
Description
Tweaker does not support autofix during HBI (regular hold buffer/delay
enabled
Next step
1. Make sure HFI algorithm is turned on while fixing hold by delay
insertion:
B184 239
Tweaker™ Blocking Codes Version T-2022.03
B185
# Blocked by instance cross power domain
Description
Since the instance in multi physical power domain at same time, please check
Next step
Check physical power domain of design and correct the physical power domain
B185 240
Tweaker™ Blocking Codes Version T-2022.03
B186
# Blocked by HFTS fanout threshold.
Description
Tweaker will not fix drv by HFTS if the net fanout is smaller than the
threshold fanout count. HFTS focus on high fanout nets and use tree
Users are able to set the HFTS fanout threshold by using below internal.
variable:
Next step
1. Turn off HFTS to use HFS methodology for ECO:
set slk_hfts_auto_threshold 16
B186 241
Tweaker™ Blocking Codes Version T-2022.03
B187
# B187 Blocked by using non-gate-array cell in gate array mode.
Description
If user sets incorrect gate array setting in Metal-ECO mode, then Tweaker
will not perform the eco operation, and report this blocking message.
Next step
1. Check gate array setting correctness.
report_gate_array_cells
clear_gate_array_setting
-body ...
Example:
B187 242
Tweaker™ Blocking Codes Version T-2022.03
B188
# Blocked by internal power larger than setting
Description
If the internal power of cell less than the specified internal power value,
then Tweaker will try to reduce these cells internal power during Internal
Next step
Lower value means Tweaker has more chance to reduces internal power
B188 243
Tweaker™ Blocking Codes Version T-2022.03
B189
# Blocked by switching power larger than setting
Description
If the switching power of cell less than the specified switching power
value, then Tweaker will try to reduce these cell switching power during
Next step
Lower value means Tweaker has more chance to reduces switching power
B189 244
Tweaker™ Blocking Codes Version T-2022.03
B190
# Blocked by watching vt ratio
Description
When enabled "slk_fix_watch_vt_ratio", Tweaker's Eco will watch vt ratio
"count" or "area".
Next step
1. disable watch vt ratio
2. enlarge tolerance
=>
B190 245
Tweaker™ Blocking Codes Version T-2022.03
=>
B190 246
Tweaker™ Blocking Codes Version T-2022.03
B191
# B191 Blocked by Moving ECO flow disallow overlapping cell.
Description
Moving methodology in switching power ECO flow needs legal space for ECO
cells.
Next step
1. Check placement setting for ECO cells.
B191 247
Tweaker™ Blocking Codes Version T-2022.03
B192
# Blocked by watch switching power
Description
Tweaker will monitor switching power during Dynamic Power ECO by the
For Dynamic Power ECO fixing Switching Power, users are recommended to set
Next step
Don't watch switching power during Dynamic Power ECO
B192 248
Tweaker™ Blocking Codes Version T-2022.03
B193
# Blocked by via0 alignment
Description
This is physical design rule "via0 alignment".
If you allow physical DRC violation during ECO, you can disable the variable
Next step
Don't check the "via0 alignment" rule, if you allow
B193 249
Tweaker™ Blocking Codes Version T-2022.03
B194
# Blocked by pin not defined in LEF
Description
Tweaker try to "ECO" on the instance or pin, but the pin of instance or pin
that are not defined in LEF, also Tweaker can't "ECO" the instance or pin.
Next step
Check the master's LEF quality and refire the Tweaker uses the quality input
data.
B194 250
Tweaker™ Blocking Codes Version T-2022.03
B195
# Blocked by input pin max fanout limit
Description
If the input pins of instance #fanouts > specified value
Next step
Enlarge the "slk_auto_sizing_max_fanout_limit"
set slk_auto_sizing_max_fanout_limit 32
=>
set slk_auto_sizing_max_fanout_limit 64
B195 251
Tweaker™ Blocking Codes Version T-2022.03
B196
# Blocked by output pin max fanout limit
Description
If the output pins of instance #fanouts > specified value
Next step
Enlarge the "slk_auto_sizing_max_fanout_limit"
set slk_auto_sizing_max_fanout_limit 32
=>
set slk_auto_sizing_max_fanout_limit 64
B196 252
Tweaker™ Blocking Codes Version T-2022.03
B197
# Blocked by hack clock min cell delay
Description
During hack clock cell delay ECO, if the delay of instance is equal to the
Next step
N/A
B197 253
Tweaker™ Blocking Codes Version T-2022.03
B198
# Blocked by hack delay out of adjust range
Description
During hack clock cell delay ECO. If the delay of instance concussion
Next step
Enlarge the range
=>
B198 254
Tweaker™ Blocking Codes Version T-2022.03
B199
# Blocked by moving congestion limit
Description
During insertion ECO, users are allowed to calculate the congestion of the
[-bottom_routing_layer bottom_layer]
percentage larger than the specified high_ratio value, Tweaker will not
perform the ECO operation, and will report this blocking message.
Next step
1. Enlarge the thresholds of variable:
=>
B199 255
Tweaker™ Blocking Codes Version T-2022.03
B199 256
Tweaker™ Blocking Codes Version T-2022.03
B200
# B200 Blocked by setup trans/delay sampling target
Description
Tweaker will not try to insert on the net since the net is not considered
as an ECO candidate net due to the driver transition and the cell delay is
Next step
Increase the value below:
B200 257
Tweaker™ Blocking Codes Version T-2022.03
B201
# Blocked by trans value is already < slk_fix_setup_max_trans_drv
Description
If the variable "slk_fix_setup_max_trans_drv" is specified, Tweaker will
Next step
Empty the value, let Tweaker check drv by "set_drv_factor" or
"set_drv_value"
B201 258
Tweaker™ Blocking Codes Version T-2022.03
B202
# Blocked by gate array mode not enabled.
Description
During metal eco operation, if the metal eco mode is disabled, you set the
gate array cell and use it for sizing candidate. We will block this ECO
operation.
Next step
Please enable metal eco mode:
B202 259
Tweaker™ Blocking Codes Version T-2022.03
B203
# Blocked by libcell unit site isn't defined in LEF
Description
During ECO operation, if the candidate cell isn't defined the 'SITE' token
Next step
Please check the violation candidate cell LEF 'SITE' token.
B203 260
Tweaker™ Blocking Codes Version T-2022.03
B204
# Blocked by slk_vmin_cell_list is empty
Description
Tweaker Vmin ECO need to specify worse variation PVT cell type. Tweaker will
change instances from Vmin cell type to other and also extract the setup
timing margin.
Next step
Please specify Vmin cell list for Vmin ECO:
B204 261
Tweaker™ Blocking Codes Version T-2022.03
B205
# Blocked by slk_vmin_cell_list not match
Description
The slk_vmin_cell_list can't match any cell by specifying pattern.
Next step
Please specify correct Vmin cell list pattern for Vmin ECO:
B205 262
Tweaker™ Blocking Codes Version T-2022.03
B206
# Blocked by cell type is not defined in slk_vmin_cell_list
Description
This cell isn't defined by vmin cell list. Tweaker will change instances
from Vmin cell type to other and also extract the setup timing margin.
Next step
Please specify Vmin cell list for Vmin ECO:
B206 263
Tweaker™ Blocking Codes Version T-2022.03
B207
# Blocked by max shift distance
Description
During sizing operation, if the candidate has already found out the free
space to sizing up the cell, the shift distance isn't enough for allowing
this ECO operation. users are able to give the allowed shifting distance by
Next step
1. Please give a larger shifting, search range, or preferred wire length by
B207 264
Tweaker™ Blocking Codes Version T-2022.03
B208
# Blocked by neighbor cells overlapping
Description
During ECO operation, if the candidate cell sizing or insertion target free
space neighbor cell has the overlapping issue, we will block this ECO
operation.
Next step
Please use the following command to check overlapping issue:
check_overlapped_cells
B208 265
Tweaker™ Blocking Codes Version T-2022.03
B209
# Blocked by fix dynamic power threshold
Description
For vectorless flow, Tweaker will use the following variable to control
dynamic power ECO only focus on specifying the worst dynamic power
percentage of candidates.
Next step
Relax the dynamic power threshold setting:
B209 266
Tweaker™ Blocking Codes Version T-2022.03
B210
# Blocked by cell without vt data in LEF
Description
During ECO operation, if the candidate cell isn't defined the vt layer
information, but this cell enables vt rule check. We will block this eco
operation.
Next step
1. Please check the violation candidate cell LEF vt layer definition.
2. Tweaker can use the following variable to enable the ECO still can sizing
3. Tweaker can use the following variable to disable VT and TPO rule
checking.
B210 267
Tweaker™ Blocking Codes Version T-2022.03
B211
# Blocked by unknown physical rule.
Description
During ECO operation, if the candidate cell has the unexpected physical rule
Next step
Please contact Dorado Tweaker AE.
B211 268
Tweaker™ Blocking Codes Version T-2022.03
B212
# B212 Blocked by routing length is shorter than the lower bound of preferred
distance
Description
Tweaker will insert cell at the lower bound of preferred distance when
shorter than the lower bound of preferred distance. Tweaker can't insert
cell at preferred distance along the routing pattern, the blocking code will
show up.
Next step
1. reduce the lower bound of preferred distance
B212 269
Tweaker™ Blocking Codes Version T-2022.03
B213
# B213 Blocked by don't touch net routing
Description
Tweaker will not allow any ECO to touch net routing which contains "dont-
touch" attribute.
This don't touch net routing attribute can be given by below Tweaker
command:
or
Next step
1. Use the following command to find out the dont touch command source
report_dont_touch_apply_from hier_net_name
B213 270
Tweaker™ Blocking Codes Version T-2022.03
B214
# B214 Blocked by lack of spare cell
Description
In metal ECO flow, if we don't have spare cell sizing or insertion candidate
For sizing operation, users are able to give the allowed shifting distance
For insertion operation, users are able to give the free-space search range
In addition, for insertion operation, users are also able to give the
preferred wire length of the net that is connected to the ECO cell by using
below variable:
(default: 6 9999)
User also able to limit the resources searching-window during Metal ECO mode
set_resource_window [-add_window|-clear_window] x1 y1 x2 y2
B214 271
Tweaker™ Blocking Codes Version T-2022.03
Next step
1. Use the following command to check spare cell list.
If the spare list is wrong, please use the eco command to reset the spare list.
eco -dump_spare_list
(d) Give a bigger value to the upper bound of preferred wire length during
insertion operation:
B214 272
Tweaker™ Blocking Codes Version T-2022.03
B214 273
Tweaker™ Blocking Codes Version T-2022.03
B215
# B215 Blocked by RC quality.
Description
Tweaker will not try to do auto fix on the net which have incorrect RC
information.
Next step
1. Please check your spef read correctly
ex.
ex.
B215 274
Tweaker™ Blocking Codes Version T-2022.03
B216
# Blocked by Power Eco watch unexpected setup margin
Description
During power ECO, if the setup margin is better than the original cell, we
Next step
Please contact Dorado Tweaker AE.
B216 275
Tweaker™ Blocking Codes Version T-2022.03
B217
# Blocked by routing path congestion limit
Description
During insertion ECO, users are allowed to calculate the congestion of the
[-bottom_routing_layer bottom_layer]
Tweaker will not perform the ECO operation, and will report this blocking
message.
Next step
1. Set a larger congestion threshold by using below variable:
B217 276
Tweaker™ Blocking Codes Version T-2022.03
B217 277
Tweaker™ Blocking Codes Version T-2022.03
B218
# Blocked by performing split-cell on single fanout net
Description
Tweaker will dump this blocking message when user is performing split-cell
splited.
Next step
N/A
B218 278
Tweaker™ Blocking Codes Version T-2022.03
B219
# Blocked by partial blockage
Description
Durning ECO operations Tweaker will not eco on the cells if it's inside
partial blockage and exceed the partial blockage density limit after eco.
Next step
set enable_partial_blockages false
B219 279
Tweaker™ Blocking Codes Version T-2022.03
B220
# Blocked by inst internal power impact
Description
Tweaker will monitor internal power during Dynamic Power ECO by the variable
internal power.
For Dynamic Power ECO fixing Switching Power, users are recommended to set
Next step
Don't watch internal power during Dynamic Power ECO
B220 280
Tweaker™ Blocking Codes Version T-2022.03
B221
# Blocked by hfi use same always on cell
Description
During ECO operation, if we don't have any always on cell candidate can
Next step
Relax the following variable setting:
B221 281
Tweaker™ Blocking Codes Version T-2022.03
B222
# Blocked by insertion on assign net is not allowed
Description
By default, Tweaker will not insert buffers on assign nets.
Next step
Enable the below variable to allow Tweaker touch assign net:
B222 282
Tweaker™ Blocking Codes Version T-2022.03
B223
# Blocked by fix dynamic power without sizing down
Description
If slk_fix_dynamic_power_without_sizing_down set to true. Tweaker will not
Next step
Please set enable sizing down operation by using corresponding variables
below:
B223 283
Tweaker™ Blocking Codes Version T-2022.03
B224
# Blocked by slack domain not created
Description
In the hack sdf by twf flow, we must be creating twf hack sdf domain based
on the "eco domain's target slks" and setting the fixing targets as "hack
If the pin's twf is great than the "eco domain's target slks" and less than
the "hack sdf's target slks", Tweaker will show the blocking code.
Next step
Please align "hack sdf's target slks" to "eco domain's target slks", let it
begin_corner
...
end_corner
...
### NOTICE: PLEASE ALIGN "hack sdf's target slk" to "eco domain's target
slk"
B224 284
Tweaker™ Blocking Codes Version T-2022.03
slkfix -create_twf_hack_sdf_domain
slkdc -check_slack_consistency
...
source $script_path/twf_hacksdf_setting.tcl
### NOTICE: PLEASE ALIGN "hack sdf's target slk" to "eco domain's target
slk"
slkfix -twf_hack_sdf
...
B224 285
Tweaker™ Blocking Codes Version T-2022.03
B225
# Blocked by min through path threshold for hold time fixing.
Description
During Hold ECO operation, if the candidate cell through path count is
smaller than this min through path count threshold, we will block this ECO
opeation.
Next step
Reduce the following variable setting:
B225 286
Tweaker™ Blocking Codes Version T-2022.03
B226
# Blocked by sizing congestion limit
Description
During sizing ECO, users are allowed to calculate the congestion of the
[-bottom_routing_layer bottom_layer]
percentage larger than the specified high_ratio value, Tweaker will not
perform the ECO operation, and will report this blocking message.
Next step
1. Enlarge the thresholds of variable:
=>
B226 287
Tweaker™ Blocking Codes Version T-2022.03
B226 288
Tweaker™ Blocking Codes Version T-2022.03
B227
# Blocked by power eco forbidden chain.
Description
During Power ECO, if the ECO operation will generate the forbidden
Next step
Relax the forbidden chain rules setting:
(default: 999.0)
(default: 999.0)
B227 289
Tweaker™ Blocking Codes Version T-2022.03
B228
# Blocked by insert delay count limit
Description
If delay insert instance count over the "slk_insert_delay_count_limit" value
Users are able to specify the delay insert count limit of the insert delay
Next step
1. Change your insertion candidate to more suitable cells to insert delay.
set slk_insert_delay_count_limit 30
B228 290
Tweaker™ Blocking Codes Version T-2022.03
B229
# Blocked by cell via0 overlapping power strap
Description
In std cell's lef, there will be via0 definition in OBS part. During ECO including
sizing or insertion, if the violated cell or inserted buffer via0 could overlap with
Next step
set enable_cell_via0_avoid_power_strap false
B229 291
Tweaker™ Blocking Codes Version T-2022.03
B230
# Blocked by fix setup up sizing peer
Description
When Tweaker out of candidate for downsizing during down sizing peer opera-
tion. However, there might be some cases where up sizing the peer help to
improve the setup time. Therefore, this blocking code shown to let user know
Next step
To let Tweaker has more candidate for sizing peer, user can enable below
variable:
B230 292
Tweaker™ Blocking Codes Version T-2022.03
B231
# Blocked by whole path in IP block
Description
The path connection entirely within an IP block (Netlist and Def isn't prov-
ided to Tweaker). Hence, Tweaker isn't able to fix the path and shows this
blocking code.
Next step
Please provide IP's netlist and def to Tweaker.
B231 293
Tweaker™ Blocking Codes Version T-2022.03
B232
# Blocked by auto sizing keep routing
Description
Tweaker cannot perform the ECO operation when you enable the "keep routing"
function.
You can enable the "keep routing" function to reduce the routing
Next step
Disable the "keep routing" function by using the following variable:
B232 294
Tweaker™ Blocking Codes Version T-2022.03
B233
# Blocked by out of power management cell group
Description
When "compare_power_management_cell_equal_by_pin" enabled, Tweaker cannot perform sizing
ECO operation if Tweaker find that the ECO target cell name, number and type of PG pin is
Next step
1. Please check library PG pin definition correct or not.
2. If user find library definition wrong, user can disable the following variable for sizing.
(not recommand)
B233 295
Tweaker™ Blocking Codes Version T-2022.03
B234
# Blocked by dont touch metal layer
Description
During autofix, Tweaker will check if the violated cell's or inserted pin's
Next step
Please help relax the dont touch metal layer settings.
B234 296
Tweaker™ Blocking Codes Version T-2022.03
B235
# Blocked by cell pin track mismatch
Description
During ECO, if the violated cell's or ECO inserted cell's pin cannot be aligned
Next step
Disable placement physical rule check to let Tweaker do ECO.
B235 297
Tweaker™ Blocking Codes Version T-2022.03
B236
# Blocked by instance pin not in user specified domain
Description
During repeater insertion, Tweaker will try to insert on instance's pin to
included into ECO domain. When user trying to fix path excluded from ECO
domain, Tweaker can not insert on the instance's pin due to it does not in
Next step
Expand the ECO domain to the path want to be fixed. By default, when ECO do-
main is not specified, Tweaker will create ECO domain for all the read slack
path.
Or, user can create whole chip domain to include all instance into ECO doma-
in.
slkfix -create_whole_chip_domain
B236 298
Tweaker™ Blocking Codes Version T-2022.03
B237
# Blocked by dont touch missing lib leakage cell
Description
Tweaker cannot perform leakage power ECO operation on the cell whose does
not have leakage power information within its corresponding LIB file since
Tweaker will need those cell leakage power information to do the leakage
power calculation.
Next step
1. Please provide the corresponding LIB files for Tweaker.
2. Please check the leakage power of the corresponding pin in the LIB file.
set slk_leakage_power_lib tt
set slk_leakage_power_lib_temperature 25
B237 299
Tweaker™ Blocking Codes Version T-2022.03
B241
# Blocked by voltage sensitivity (V_sensit) is not improved
Description
In Vmin ECO, Tweaker will not change cell if the voltage sensitivity
The lower value of voltage sensitivity derives the better results for
Vmin ECO.
ECO engine.
set_voltage_sensitivity_corner
to the cell delay and the trend of the timing degration estimated
Next step
Please check the given sizing rule.
B241 300
Tweaker™ Blocking Codes Version T-2022.03
B242
# Blocked by hack comb-logic cell only
Description
By default, Tweaker will hack the cell delay for both combinational and
When Tweaker tried to touch sequential cells, Tweaker will not perform the ECO
Next step
Please disable below variable to allow touch both combinational and sequential
cells:
B242 301
Tweaker™ Blocking Codes Version T-2022.03
B247
# Blocked by minimum improved IR-dropped ratio.
Description
During IR ECO, if IR drop ratio improvement for each ECO operation is smaller
Next step
Please set a smaller minimum IR drop ratio improvement by using below variables:
B247 302
Tweaker™ Blocking Codes Version T-2022.03
B248
# Blocked by fix IR without sizing up
Description
By default, during IR ECO, Tweaker will not allow any sizing-up operation.
Next step
Tweaker didn't allow and perform any sizing-up ECO operation.
B248 303
Tweaker™ Blocking Codes Version T-2022.03
B249
# Blocked by slk fix keep obs geometry
Description
Tweaker cannot perform the ECO operation when user enable "keep obs geometry"
function.
Users are able to enable the "keep obs geometry" function to keep the cell obs
Next step
Please disable "keep obs geometry" function by using below variable:
B249 304
Tweaker™ Blocking Codes Version T-2022.03
B251
# Blocked by IR prediction communication error.
Description
During IR ECO, Tweaker will communicate with RHSC to get real-time IR drop ECO
difference. If Tweaker get error message from RHSC, it will show this blocking
Next step
Please contact Synopsys AE.
B251 305
Tweaker™ Blocking Codes Version T-2022.03
B252
# Blocked by power eco ignore power/ground connected cell
Description
During power eco, Tweaker wouldn't touch cell which is connected with
power/ground net.
Next step
1. If user still want to save those kinds of cell leakage power, user
B252 306
Tweaker™ Blocking Codes Version T-2022.03
B253
# Blocked by missing driving port/cell
Description
During power eco, Tweaker wouldn't touch cell which is missing driving
port/cell.
Next step
Please check your verilog logic design correct or not.
B253 307