Download as pdf or txt
Download as pdf or txt
You are on page 1of 4

2020 International Conference on Emerging Trends in Information Technology and Engineering (ic-ETITE)

Analysis of 32-Bit Multiply and Accumulate unit


(MAC) using Vedic Multiplier
2020 International Conference on Emerging Trends in Information Technology and Engineering (ic-ETITE) 978-1-7281-4142-8/20/$31.00 ©2020 IEEE 10.1109/ic-ETITE47903.2020.413

* K.Lilly t S. Nagaraj $ B. Manvitha


(B.Tech) Associate Professor (B.Tech)
Dept. of ECE Department of ECE Dept. of ECE
SVCET,RVS NAGAR,Chittoor,AP SVCET, RVS Nagar, Chittoor, AP. SVCET,RVS NAGAR,Chittoor,AP
Email:lillyreddy05@gmail.com Email:nagarajsubramanyam@gmail.com Email:manvitha2799@gmail.com

§ K.Lekhya
(B.Tech)
Dept. of ECE
SVCET,RVS NAGAR,Chittoor,AP
Email:lekhyac222@gmail.com

Abstract—The most widely used operation in digital signal problems in geometry, calculus, conics, algebra, arithmetics.
processing is Multiply and Accumulate (MAC) unit. The area The figure 1 shows the 16 vedic sutras. A ll Vedic sutras listed
occupied by the MAC unit and the power consumed will largely below:
affect the performance and speed of the electronic system. So in
this paper we have proposed a 32-bit MAC using 32-bit array
multiplier and RCA (ripple carry adder) along with another
MAC using vedic multiplier and RCA. The paper also has S.NO SUTRA
another modified MAC unit which uses vedic multiplier and carry
save adder. All the MAC units are simulated using ModelSim 1 (AnurupYe)ShunyamanYat
software and synthesis is done using XILINX ISE DESIGN 2 chalana-Kalanabyham
SUITE. All the MAC implementations were compared with each 3 Ekadhikena Purvena
other for the area and delay. The proposed design of MAC 4 Ekanyunena Purvena
using vedic multiplier has less delay with respect to other MAC 5 Gunakasamuccayah
architectures. 6 Gunitasamuccayah
Index Terms—MAC unit, Vedic Multiplier, CSA, RCA, Verilog 7 Nikhilam-Navatashcaramam Dashatah
HDL, LUT. S Paraavartya-Yojayet
9 Puranapuranabyham
I. I n t r o d u c t io n 10 Sankalana-vyavakalanabhyam
11 Shesanyankena-Charamena
The recent scenario in VLSI designing is completely to­ 12 Shunyam-Saamyasamuccaye
wards designing low power and low area device which are also 13 Sopantyadvayamantyam
14
faster in nature, so there is a need that we should also reduce Urdhva-Tiryakbhyam
15 Vyashtisamastih
the delay in our designs. As the usage of wireless devices have 16 Yavadunam
increased at a very large rate. There is a high need for low
power consuming devices. Low power digital signal processors
can be designed and simulated using many techniques. Some Fig. 1. 16 VEDIC-SUTRAS
of the common operation done by them are multiplying and
accumilating(MAC) and Fast Fourier Transform (FFT). The
adders and multipliers plays a crucial role in these operations. In the 16 sutras, UrdhvaTiryagbhyam(UT) are used for mul­
Generally it is noted that the power consumed in a DSP (digital tiplication of any 2 numbers. Normally, UT sutra is preferred
signal processoimng ) unit completely depends on its MAC for tiny bit numbers. So, UT sutra is used in this project.
unit.
III. URDHVA TIRYAGBHYAM(UT)
II. VEDIC- MATHEMATICS
Urdhva Tiryagbhyam(UT) means vertically and crosswise,
Vedic- mathematics is an old approach that can be straightly we can multiply 2 numbers of any base with this sutra.
make use in different arms of maths in particularly arithmetic, Then plan of action for multiply 2 3-bit numbers say M[2:0],
algebra etc. It reduce the complex while calculating any N[2:0] and C[3:0] indicate the carry, Y[2:0] indicate the partial
results.[13] It can solve arithematic related problems in faster product output.
and easy way. It has 16 sutras that are used for solving Then the successive steps are to be followed:

978-1-7281-4142-8/$31.00 ©2020 IEEE 1

Authorized licensed use limited to: University of Exeter. Downloaded on June 21,2020 at 01:37:28 UTC from IEEE Xplore. Restrictions apply.
2020 International Conference on Emerging Trends in Information Technology and Engineering (ic-ETITE)

step-1: C0Y0 = M0 NO (MH x NH) + (MH x NL+ NH x ML) + (ML x NL).


step-2: C1Y1 = (M0*N1) + (M1*N0) + CO [4]In a line both sides of the digits are multiplied to
step-3: C2Y2 = (M0*N2) + (M1*N1) + (M2*N0) + C1 obtain the result, which is added to the foregoing carry,
step-4: C3Y3 = (M1*N2) + (M2*N1) + C2 when there are more lines also. Similarly same procedure
step-5: C4Y4 = (M2*N2) + C3 follows for 16-bit and 32-bit. Proposed 32-bit multiplier The
Hence, the final product = C4Y4Y3Y2Y1Y0 32-bit vedic multiplier is designed by four 16 bit vedic
multipliers.Here the inputs a[31:0] and b[31:0] and split into
IV. MAC UNIT a[15:0],a[31:16],b[15:0]and b[31:16] respectively and give as
The MAC includes of multiplier, adder and accumulator. inputs to the multipliers and the ultimate result is a 64- bit
MAC unit operation is multiply and addition functions . MAC number.
have two stages , first stage performs product of the given
VI. RIPPLE CARRY ADDER
number and the resulting output is passed on to the second
stage, in that stage addition and accumulate takes place. Above In [7] combinational circuits RCA is one of them.N full
stages are executed in single round [9] . The 32-bit MAC unit adders are cascaded in parallel way to add two n-bit binary
is designed by 16-bit multiplier. Similarly 16-bit multiplier numbers. RCA also called as n-bit parallel adder. The figure 3
designed by taking two 8-bit multipliers and 8-bit multiplier shows logic circuit of 4-bit RCA . In RCA cout of frist stage
is designed by using two 4-bit multipliers and 4-bit multiplier is given input for next stage as cin. In Mathematics, any two
is designed with two 2-bit multipliers. The figure 2 shows 4-bit binary numbers A3A2A1A0 and B3B2B1B0 are added
MAC unit. as shown below:

C3 C2 C l CO Cin
B3 B2 B1 BO
+ A3 A2 A l AO

S4 S3 S2 S I SO

Fig. 4.
V. V e d i c M u l t ip l ie r

Vedic multiplier uses UT sutra for multiplication operation


of MAC unit. The vedic multiplier is independent to the V II. CARRY-SAVE ADDER
processor clock frequency because the intermediate products CSA is used for addition of 3 or more n-bit numbers. Here,
and their resultant sum are parallely calculated. The figure the 3 inputs are converted to 2 outputs where 1 output indicate
6 shows 32x32 Vedic Multiplier. Let two 8-bit numbers sum and the other one represents carry [5]. The figure 5 shows
represented as MH ML and NH NL .Where the most the architecture of CSA . To get the final sum shift the carry
significant 4-bits are MH and NH and the least significant to left by one bit place then attach the most significant bit of
4-bits are ML and NL of an 8-bit number. When the numbers sum with zeros.
are multiplied according to Urdhava Tiryagbhyam (vertically
and crosswise) method, we get, V III. M e t h o d o l o g y

The 32-bit MAC(Multiply & Accumulate ) unit is designed


MH ML using array multiplier (AM) and RCA then vedic multiplier
is replaced with array multiplier (AM) and CSA is used in
NH NL the place of RCA. Then we replace the CSA again with RCA
. The speed and area of 32-bit MAC is compared with array

Authorized licensed use limited to: University of Exeter. Downloaded on June 21,2020 at 01:37:28 UTC from IEEE Xplore. Restrictions apply.
2020 International Conference on Emerging Trends in Information Technology and Engineering (ic-ETITE)

TABLE I
Co mp a r it iv e A n a l y s is o f D if f e r e n t MAC A r c h it e c t u r e s in
TERMS OF DELAY AND AREA

SNO MAC Unit Delay(in LUT’s


ns)
1 32-bit MAC using array 123.988 2373
multiplier,RCA
2 32-bit MAC using vedic 85.209 3782
multiplier,CSA
3 32-bit MAC using vedic 82.911 3637
multiplier,RCA

Fig. 5. CSA ARCHITECTURE

Fig. 8. Output of 32-bit MAC Unit using Vedic Multiplier and RCA

multipier using ripple carry adder, vedic multiplier using RCA


and vedic multiplier using CSA.

IX. Re s u l t s

We have proposed 32-bit vedic multiplier which is imple­


mented in verilog HDL and it is imitate in Modelsim. Xilinx
tool is used for synthesis of 32-bit MAC unit. For comparison a
ordinary 32-bit array multiplier (AM) using full-adders is also Fig. 9. Outputs 32bit MAC Unit using Vedic Multiplier and CSA
constructed. The result of a 32-bit Multiply and Accumulate
unit using CSA and vedic multplier is shown in figure 7. Figure
8 shows the result of MAC of 32-bit using vedic mulitplier
and RCA and figure 9 shows the result of 32-bit MAC using
array multiplier (AM) and RCA. Synthesis report of area and
delay of MAC units with respective multiplier is shown in the
table I. The figure 10 and figure 11 represents the area and
delay plots of MAC units.

Fig. 7. Output of 32-bit MAC Unit using Array Multiplier and RCA Fig. 10. Comparision of Delay

Authorized licensed use limited to: University of Exeter. Downloaded on June 21,2020 at 01:37:28 UTC from IEEE Xplore. Restrictions apply.
2020 International Conference on Emerging Trends in Information Technology and Engineering (ic-ETITE)

Re f er enc es

[1] Yogita Bansal ; Charu Madhu ; Parde; High speed vedic multiplier
designs-A review 2014 Recent Advances in Engineering and Computa­
tional Sciences (RAECS)
[2] G. R. Gokhale ; P. D. Bahirgonde 2015 International Conference on
Advances in Computing, Communications and Informatics (ICACCI)
[3] Josmin Thomas ; R. Pushpangadan ; S Jinesh Comparative study of
performance Vedic multiplier on the Basis of Adders used 2015 IEEE
International WIE Conference on Electrical and Computer Engineering
(WIECON-ECE)
[4] K. Golda Hepzibha ; C P. Subha A novel implementation of high
speed modified brent kung carry select adder 2016 10th International
Conference on Intelligent Systems and Control (ISCO)
Fig. 11. Comparision of Area [5] J. Grad ; J.E. Stine A hybrid Ling carry-select adder Conference Record
of the Thirty-Eighth Asilomar Conference on Signals, Systems and
Computers, 2004.
X. Co n c l u s io n [6] Nagaraj, S; Reddy, GM Sreerama; Mastani, S Aruna; Analysis of
different Adders using CMOS, CPL and DPL logic2017 14th IEEE India
In this paper 32-bit (MAC unit) was constructed using (VM) Council International Conference (INDICON)438362017IEEE
Vedic multiplier and CSA. It depends on Urdhva Tiryagb- [7] S. Nagaraj, Dr.G.M. Sreerama Reddy and Dr.S. Aruna Mastani; A
hyam(uT) sutra and simulation is done using verilog HDL. Comparative Study on Different Multipliers-SurveyJournal of Advanced
Research in Dynamical and Control Systems14739-7522018Institute of
It was observed that the MAC unit using vedic multiplier and Advanced Scientific Research
RCA was 33 percentage efficient compared with other MAC [8] M.Pushpa, S. Nagaraj, Design and Analysis of 8-bit Array, Carry Save
units in terms of delay. The MAC unit designed using array Array, Braun,Wallace Tree and Vedic Multipliers, IEEE Sponsored
International Conference On New Trends In Engineering & Technology(
muliplier and RCA was 37 percent efficent when compared ICNTET 2018).
with other MAC units in terms of area. The Multiply and [9] S. Nagaraj,K.Venkataramana Reddy and and P.Anil Kumar3i;Analysis
Accumulating unit arranged with vedic multiplier. It can be of Vedic Multiplier for Conventional CMOS & Complementary Pass
Transistor Logic(CPL) Logics SCOPUS Indexed Springer 8th Interna­
used in DSP applications to improve the performance. tional Conference on Innovations in Electronics and Communication
Engineering, (ICIECE-2019)
[10] PJ. Song and G. De Micheli, Circuit & architecture trade of for high­
speed multiplication, IEEE J. Solid-State Circuits, Vol.26, pp.1184-1198,
Sept. 1991.
[11] Thapliyal H. & Srinivas M.B. (2004), High Speed Efficient N x N-Bit
Parallel Hierarchical Overlay Multiplier Architecture Based on Ancient
Indian Vedic Mathematics, Transactions on Engineering, Computing and
Technology, Vol.2.
[12] M. Akila & C. Gowribala, S. Maflin Shaby,” Implementation of High
Speed Vedic Multiplier using Modified Adder” ,International Conference
on Communication & Signal Processing, April 6-8, 2016, India,PP.2244-
2248.
[13] Sheetal N. Gadakh,Amitkumar Khade,” Design and Optimization of 1616
Bit Multiplier Using Vedic Mathematics” ,2016 International Conference
on Automatic Control and Dynamic Optimization Techniques (ICAC-
DOT) International Institute of Information Technology (IIIT),pp,460-
464.
[14] Sridhar, K; Nagaraj, S; Tech, M; High Speed IEEE-754 Double
Precision Floating Point Adder/Subtractor and Multiplier Using Ver-
ilog,International Journal and magazine of Engineering, Technology,
Management and Research ,ISSN NO.: 2348 -4845 ,Volume 2, Issue
4, 2015

Authorized licensed use limited to: University of Exeter. Downloaded on June 21,2020 at 01:37:28 UTC from IEEE Xplore. Restrictions apply.

You might also like