Download as pdf or txt
Download as pdf or txt
You are on page 1of 3

BÀI THỰC HÀNH SỐ 1

Chỉ dẫn:
1) Làm việc theo nhóm.
2) Chỉ cần một người trong nhóm (nhóm trưởng) nộp bài.
3) Sinh viên viết báo cáo ra file word (câu trả lời và hình ảnh mô phỏng, không chèn
code vào file word). CHÚ Ý: khi capture màn hình kết quả thì để nguyên cả màn
hình, không crop nhỏ lại.
4) File báo cáo và các file code vhdl sẽ được tải lên assignment trên Teams.
Câu 1:
Một mạch multiplexer được cho như hình dưới. Theo như bảng chân lý, đầu ra X được gán bằng
đầu vào A khi tín hiệu sel = “01”, gán bằng đầu vào B khi sel = “10”, bằng 0 (sel = “00”) hoặc
ở mức trở kháng cao Z (khi sel = “11”).

a) Hoàn thiện code VHDL mô tả thực thể trên.


b) Viết comment cho các câu lệnh.
c) Biên dịch và mô phỏng thực thể trên sử dụng waveform. Kiểm tra xem thực thể có hoạt động
đúng như bảng chân lý không.
Câu 2:

Cho mạch DEMUX 1x4 với các tín hiệu như hình trên. Các tín hiệu vào ra có dạng std_logic. Chỉ
một tín hiệu đầu ra được nối với E tùy vào tổ hợp của S0, S1. Các tín hiệu còn lại khi không được
tích cực sẽ ở trạng thái High-Impedance (‘Z’).
a) Viết code VHDL cho mạch trên sử dụng cấu trúc When … else …?
b) Biên dịch và chạy mô phỏng code đã viết. Chỉnh dạng sóng đầu vào của S0, S1 để có thể kiểm
tra hết các tổ hợp.

Câu 3:

Cho mạch giải mã led 7 thanh nhận đầu vào là mã bcd, đầu ra là mã led bảy thanh có bảng chân lý
như hình trên. Với các tổ hợp còn lại của tín hiệu đầu vào thì các đầu ra bằng 0. Các tín hiệu vào
ra đều có dạng std_logic_vector.
a) Viết code VHDL cho mạch này sử dụng cấu trúc With … Select … When… .
b) Biên dịch và chạy mô phỏng code vừa viết, kiểm tra hết các tổ hợp có thể của đầu vào.

Câu 4:
Sơ đồ khối của một JK flipflop được cho như hình dưới. Xây dựng thực thể JK flip-flop theo 2
cách sau:
a) Tạo file .vhd và viết code VHDL mô tả thực thể đó. Chạy mô phỏng.
b) Dùng file bdf (block diagram file) để tạo ra thực thể trên. Chạy mô phỏng.

You might also like