Journal on Thermal Modeling Version 83

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 7

Multilayer Thermal Network of SiP Considering

boundary conditions For Long-Term Reliability


Studies

Abstract—Transient thermal analysis is key element for long- An important work is proposed in [15] where the authors
term reliability assessment and initial floor plan designing of demonstrate that the heat paths within the structure towards the
system in package SiP. Existing compact model lacks the ability heat sink are high thermal impedance. The thermal parameters
to predict the temperature of inter-layers, and have been steady
state. On other hand analytical models are not trivial to be R and C were computed using analytical expression, however,
derived and include practical boundary conditions. For this still hard to determine effective volume due to heat spread
purpose, we propose in this paper an integrated multilayered effect. numerical methods includes the finite-element method
Foster based thermal network for SiP that model thermal (FEM) [16]-[17], Finite difference method (FDM) [18]-[19]-
interaction between layers to predict the transient temperature [20], and a discontinuous Galerkin time-domain (DGTD) re-
of junction and inter-layer compressing in SiP. With help of finite
element tool and data curve fitting, thermal parameters are well cently presented by [21] to conduct the transient thermal mod-
derived and expressed as function of boundary conditions. The eling for heterogeneous IC. weighted Laguerre polynomials
proposed model is demonstrated with 3D heterogeneous IC and finite element based model [22]-[23] is proposed for transient
implemented in Simulink for real-time temperature prediction. thermal simulation of 3D-IC. Finite element based numerical
Predicted junction and inter-layer temperatures show interesting method has the advantage of modeling any device geometry
accuracy confirmed by obtained results from Finite Element
Analysis (FEA). and yield accurate results. However it is very time consuming
Index Terms—Finite-element, foster model, heat transfer, sys- making it not suitable for real long-term measurements and
tem in package, thermal model analysis. Modeling of thermal behavior within electronic sys-
tems provides basis for thermal management and optimization.
I. I NTRODUCTION The most important aspect of reliability assessment model of
SiP represents an innovative topology of current microsys- SiP is to imitate accurately the thermal behavior[24] of dies
tems assemblies that able to guarantee several advantages such and underneath layers in transient regime.
as fast time to market and low cost through heterogeneous inte- Many researchers in the field of thermal design combine
gration [1]. Their features make them suitable for applications thermal network-based methods and FEA-based methods to
require small modules to integrate mixed signal and passives benefit from the advantages of these tows methods [25]-[26].
such as versatile sensor interface applications [2]. However, The combined method is the most widely used currently. It
due to the high level of integration, and stacking dies and has the advantage of predicting the temperature in complex
layers in vertical direction on top of each other with different structures geometry, in reducing time. The combined method
coefficient of thermal expansion, thermo-mechanical stress is the most widely used currently. It has the advantage of
is very critical and more in heterogeneous 3D [3]-[4]. This predicting the temperature in complex structures geometry, in
thermal issue causes lower reliability of the heterogeneous reducing time. In [25] the model based on analogy between
3D-IC [5] and leading to modules failure and short lifetime heat flow driven by temperature differences, and electrical
of entire system in absence of proper thermal management current flow driven by voltage differences is proposed. Us-
system and the lack of reliability analysis at the design stage. ing this analogy, heat flow can be represented by thermal
In addition, it was investigated that fatigue and cracks occur equivalent RC network. This model has advantage of a fast
under thermal cycling mostly at different layers such as a time computation of transient thermal profiles, but neglects
bump, TSV, Silicon layer [6]. the temperature-dependent factors and can be applied only for
To tackle thermal challenges, several methods have been simplified boundary conditions. In [26] propose an analytical
suggested in the literature to characterize heat flow between model based on electrical network theory for 3D IC. The
chips within the package, and dissipated in heat-sink. These steady state developed model assumes ideal cases, then by
methods can be broadly classified into two groups:analytical applying principle of kirchof law’s they develop a new model
and numerical. The analytical method is suitable for systems for 3D IC. This model can only be used to analyze the simple
with simple geometry and boundary conditions. The heat flow problem, only vertical heat is considered in this model, and
is prescribed as a uniform such that the exact solutions of 1D lateral heat was neglected.
or 2D governing partial differential equations can be obtained In [27] authors propose new thermal model which com-
by using Fourier-series solution [7]-[8]-[9], Green’s functions bines the advantages of Cauer and Foster thermal networks.
[10]-[11]-[12], or volterra’s Series Expansion [13]-[14]. It consists of two paths for thermal flow, the first one is
used to estimating the junction temperature and the second model is described and the details of thermal impedance
one is used to estimating case and heat sink temperatures. identities that follow the heat flow paths are explained and
The disadvantage of this method that no consideration about operating conditions that affect the accuracy of the model
thermal coupling and it doesn’t permit predicting temperature to predict the temperature distribution are transformed from
between the junction and case. A review of recent research COMSOL package to thermal parameters. Section III shows
on modeling of heat transfer in three-dimensional integrated the results and validation of the proposed model. Finally,
circuits (3-D ICs) [28] report the advantage and disadvantage section IV draws the conclusion of this paper.
of existing models, more details are given. It have been also
II. T HERMAL MODELING
reported, while analytical models are accurate and ease of
integration with design tools,it is often difficult to take into The thermal modeling methodology illustrated in this paper
account complex geometries, temperature-dependent proper- is shown in Fig. 1. It uses the commercial package COMSOL
ties and the effect of non uniform cooling systems. On the to perform FE analysis of geometry under study (heteroge-
other hand, numerical models can model complex geometries neous 3D-SiP), and Simulink as circuit simulator for solving
and may, with appropriate design, provide acceptable accuracy temperature nodes. First, the geometry of heterogeneous 3D-
of temperature prediction. SiP is defined, and the properties of the material constituting
The existing model lacks the ability to predict the tempera- the system under study are settled up. A structure of the system
ture of inter-layers, simplified boundary conditions, and have is shown in Fig. 2 and its implementation in COMSOL in Fig.
been steady state, but the transient response to heat transfer 3. The dimensions and the material properties are taken from
is more critical to avoid thermal peaks. Therefore, fast and [31] and listed in table 1.
accurate transient thermal model for 3D SiP that predicts
temperature in junction and critical layers as bumps solder and
joints is required for reliability studying and providing vital
tools for thermal management and reliability analysis. In this
paper, the transient thermal behavior of heterogeneous 3D-IC
was extracted from COMSOL package, and thermal simulation
runs are saved in data file. Unlike in our previous work [29],
the step response to all boundary condition that affect the
accuracy of the thermal model is simulated by COMSOL
package [30] as well. This extracted data contains temperature
profiles of dies and critical layers (Bumps, Underfill...). Then, Fig. 1. Thermal modeling process.
the well-known analogy between the thermal systems and the
electrical ones is applied to build up the thermal-network
based modal for transient temperature calculations. In this TABLE I
respect, every interesting layer in 3D-IC is considered as G EOMETRY INFORMATION AND EQUIVALENT THERMAL PARAMETERS
a node voltage (temperature), and each two adjacent layers
crossed by the heat flow are connected by thermal impedance Layer Dimension(mm) Material Thermal cond (W/mK)
as ”electrical” one. The Foster-type (RC) network represents TIM 5x5x0.37 epoxy 1.6
Die 5x5x0.75 Si 130
every impedance in our proposed thermal model and every RC Microbump 5x5x0.125 SnAg, epoxy 0.9
parameter is expressed as function of boundary conditions. Interposer 10x10x0.25 Cu, Si 170
The values of the (RC) thermal parameters can be obtained package 20x20x2.25 FR4 0.3
by the curve fitting algorithm. The proposed model was TSV 2X2X0.125 Tungsten 174
implemented in Simulink for temperature nodes solving, then
a test case was applied for validation of proposed modal and The system consists of two stacks, each stack contains two
it implementation in Simulink. Moreover the thermal analysis dies, one on the top each other, and several layers. Each layer
is performed and impact of different layers on behaviour of has different CTE (coefficient of thermal expansion). Thus
the thermal model is studied. The main contribution of this cracks can occur when heat crosses these layers. Therefore it
article can be summarized in the flowing: a) The transfer of is necessary, to have a model that can predict the temperature
heat between any two consecutive layers within package is in these critical layers.
captured by thermal impedance of Foster type, b) The model
can predict the temperature of all layers accurately within A. Thermal Impedance Identities
package, c) The proposed model permits an effective thermo- When heat is generated in the surface of a die and it goes
mechanical analysis of the package, d) the boundary conditions through different thermal paths; the transfer of heat might
are transformed to RC parameters and e) thermal analysis that occur in a vertical thermal path among layers in the same
show the impact of layers on thermal model is studied. The rest stack where heat is generated, or may go to the adjacent stack
of this article is structured as follows. Section II gives a brief through horizontal thermal path. The heat generated in one die
definition of system in package first, then the proposed thermal may affect all other dies in the system, so that the junction
3D-SiP in case of die 1,2 heated respectively. The thermal
impedance between two layers in this work is defined as the
ratio of the temperature difference between their geometric
centers to the applied loss power (equation 1).
Tlayeri − Tlayerj
Zlayerij (t) = (1)
Ploss

(a)

(a)

(b)
Fig. 2. Thermal impedance configurations: (a) Die 1 heated. (b) Die 2 heated.

(b)
Fig. 4. Transient temperature distribution over dies and underneath layers by
FE simulation: (a) Die 2 heated. (b) Die 3 heated

Fig. 3. 3D model in the simulation (not to scale).

temperature could be calculated as the sum of contributions


due to the self-heating temperature, the ambient one, and the
coupling effects due to the heat generation in other dies. Fig.
4a shows the temperature rise in die 2 due to self-impedance,
and the temperature rises in die 1, die 3 and die 4 due to the
effect of the heat flows from die 2 to die 1, die 3, and die 4.
To study the thermal influence between the different dies, the
transient thermal temperature in each node along thermal path
is required (see Fig. 4b). To obtain these node temperatures,
FE simulation has been performed four times by the use of the
COMSOL package. For every simulation one die is active by
applying expected loss power and all the rest are kept inactive,
during a simulation all layers’ temperatures must be measured Fig. 5. Proposed thermal network.
(Fig. 4b). Once the transient temperatures are extracted, results
are used to compute thermal impedances produced by self B. B. Curve Fitting of Thermal Impedances
and cross heating. As discussed before, the self and cross The 3D-IC under study contains four heat sources, namely
impedances depend on the active die during the simulation. die 1,2,3 and 4. Therefore four FE simulations have been
Therefore, four configurations must be considered. Fig. 2a performed by applying a set of heat power to the dies. These
and 2b show the configuration of thermal impedances of the simulations produce a set of curves representing the transient
temperatures of the four dies and underneath layers as shown
in Fig. 4. Transient thermal impedances between any two
layers are directly derived as shown in equation 1.The obtained
curves are a time-dependent quantity, and it can be emulated
by a Foster equivalent network that consists of n pairs of
parallel RC connected in series as shown in Fig. 5. The
mathematical model of the impedance shown in Fig. 5 is
given by equation 2. Analytical representation of equation 2
is chosen as the objective function within the fitting procedure
used for extracting RC pair thermal parameters. In this work
the curve fitting algorithm of Matlab has been exploited to fit
the step response of equation 2 to the simulated impedance
curves.
3
X t
Zthjc (t) = Rk (1 − e τk ) (2)
k=1

Where τk is thermal time constant of k t h term. By doing so,

the thermal parameter values are obtained and subsequently


can be applied to Simulink for temperature calculation. The Fig. 6. Variation of the layers’s impedances.
number of RC pairs in this model is 300.
C. Thermal Impedance equations and order reduction
Through transient thermal simulations as shown in Fig. 6,
we found that certain coupling impedances are close to zero
or remain unchanged when a heat source is added due to
the long distance of the added heat source from the layer Fig. 7. Model reduction example.
characterized by these impedances.therefore, the impedance
of each layer vary according to the physical property of the Impedances in the right of equation (4), (5), and (6) can
layer and its position relative to the source of the heat flux. In be calculated by equation (1). To find the temperature rise in
this case, the model order can be reduced by ignoring some each layers within the package, the equation (7) can be used.
thermally unaffected layers in the self and coupling impedance
jl,i
N X
calculations. So that the total impedance is considered not X
l
varied by the addition of other fractional impedance, when TL (t) = Zi,j Pi + Tref erence (7)
the latter does not add more than one percent of the total self i=1 j=1

impedance and 5% of the total cross impedance in the steady- Where Tref erence represent the reference temperature of layer
state. As an illustration, FIG. 7 shows a thermal path in the l
L, Pi , i = 1, ..4 represent the losses power on dies, and Zi,j
case of the heated die 2, all the layer’s impedances above the is thermal impedance from heat flux to target layer and is self
layer of the interposer have been removed from the model impedance of layer L if i = j.
and the impedance of the latter is directly connected to the
D. characterization of thermal parameters in term of bound-
heat-sink.
In this case the self thermal impedance of layer die 2 is ary conditions
given as follow In real life, SiPs experience different environmental con-
die2 P1 P2 ditions (change in ambient temperature), dynamic thermal
Zself = Zself −die2 + Zself −die2 (3) management based on nonlinear cooling techniques, and vari-
Where ation of load powers on dies. All this external conditions are
P1 self −die2 self −die2 considered as boundary conditions and have impact on the
Zself −die2 = Zdie2−T IM 1 + ZT IM 1−HS2 (4)
accuracy of thermal model as it show more discrepancies in
and thermal impedances while theses factors change. So far, the
P2 self −die2 self −die2 self −die2 multilayer thermal network shown in Fig. 5 provides reliable
Zself −die2 = Zdie2−T SV 1 + ZT SV 1−die1 + ......Zint2−hs2 thermal interaction between layers within SiP, but it does
(5)
not take into account the effect of boundary conditions on
To calculate the coupling impedance between a die and any
the prediction accuracy. through transient thermal simulations,
layer within package, all the impedances between the heat flux
we show the importance of including the boundary condition
source and the target layer must be summed. As an example,
in the thermal model. FEA is performed for each operating
eq.(6) shows the cross impedance between die 2 and die 1.
condition, then the transient thermal impedance of layers
die2−die1 die1 die1
Zcross = Zdie2−T SV 1 + ZT SV 1−die1 . (6) are extracted. To understood the impact of nonlinear cooling
of package on thermal impedances, the step responses are
analyzed under the variation of heat transfer coefficient htc in
the range of 1100 < htc < 22000W.m−2 .K −1 . The transient
impedance between the thermal material interface T IM and
the heat sink, under theses htc values, is shown in Fig.8; and
between the die 1 and the underfil is shown in Fig. 9. As it is
seen in Figures 9 and 10, not all impedances are sensitive
to htc. htc has more impact on the impedance near the
heatsink, as shown in Figure 9, and its effect decreases as the
impedances are farther from the heatsink. In order to analyse
the effect of power losses from dies on thermal impedances,
the ambient temperature is fixed to room temperature, htc to
6000W.m−2 .K −1 , and dies are fed with different pulse power
varies from 30 W to 180 W . The transient thermal impedance
of different layers withinh the package under theses conditions
are shown in Fig.10,11,12. Folowing theses figures all thermal
impedances increase with power losses especially between die
1 and TSV1 and betwwen TSV1 and die 2. The reason is
due to the thermal properties of Silicon whose conductivity
decreases as its temperature increases, which in turn affects
the rest of the self and coupling impedances.
Fig. 9. Non-Sensitive transient impedance to htc.

.5
Fig. 10. Box plot of number of positions sent per iteration using this scheme

Fig. 11. die 2

Fig. 8. Sensitive transient impedance to htc.

III. M ODEL VALIDATION AND VERIFICATION


In order to validate the proposed model, we applied different
power losses to the entire system and the junction and under-
neath temperature are measured based on method explained in
the previous section. The faded power losses and the thermal
.5
impedance curves in junction of die 2 and die 3 are shown
in Fig. 8 and Fig. 9 respectively. As it can be seen, a limited Fig. 12. Box plot of number of positions sent per iteration using this scheme
mismatching about 4% is detected in steady state confirming
Fig. 13. die 3
the validity of the proposed model.
Fig. 14. Validation of thermal impedance for different power losses.
A. Validation of the Proposed Model with FE
The proposed thermal network shown in Fig. 5 was im-
plemented in Simulink for real-time measurement. In order
to verify the proposed model and its implementation in B. Analysis of the effect of underneath layers on thermal
Simulink, a test case under varying loss power was applied model
for Simulink and then results are compared to temperatures We simplified our model by neglecting the under layers.
coming from FEM. The four dies are subjected to power Thus, only thermal impedances between dies are included.
losses of regular duty-cycle waveforms. In this simulation In this section we show the impact of neglecting underneath
we choose the duration for power input to be 12s, and 2s layers on accuracy of the thermal model. The results obtained
respectively. The total applied power was 140 W. Temperature are presented in table II. The results presented in table II
estimations by both the proposed thermal network and FEA show that the proposed thermal model with underneath layers
for each die and layer are shown in Fig. 10, 11, and 12 provide a better accuracy compared to the model without
respectively. In Fig. 9 and Fig. 10, we can see heat curves for underneath layers. The temperature differs at die 1, 2, 3, and 4
junction’s temperature estimation for die 2 and 3 respectively, by, 10.06 °C, 8.01 °C, 14.5 °C, and 9.2 °C, respectively. The
obtained by both FE and proposed circuit. It is noted that the large discrepancy in temperature predicted by the simplified
proposed model results are in good consistence with FEM, model comes from the fact that each layer has its own thermal
and the max temperature difference in all cases between resistance and capacitance, which contributes in thermal be-
the two models is 2.5. The good agreement was noticed in havior of the entire system. From the results, it is clear that the
case of die 1 with the variation of nearly 1.4 °C. Fig 13 accuracy of the thermal model depends on taking into account
shows the temperature distribution of the layers obtained by each layer in 3D-SiP.
the proposed model. These measurements are important for
studying thermo-mechanical stresses TABLE II
C OMPARISON BETWEEN SIMPLIFIED MODEL AND PROPOSED MODEL

Die1 temperature °C Die2 temperature °C Die3 temperature °C Die4 temperature °C


Simplified model 88 63.4 108.1 72.65
Original Model 77.94 55.3 93.6 63.45

IV. CONCLUSION
A new and fast transient thermal model for heterogeneous
3D-SiP, which contains four dies and many underneath layers
Fig. 15. Transient temperature curves of die 2, time duration 12s. has been presented. The proposed model can be applied to any
geometry structure. It can predict not only junction tempera-
tures but also the temperature of underneath layers. Moreover,
it is easy to be integrated in Simulink for the reliability analysis
of considered system and will be presented in our future
work. The thermal analysis carried out shows that accuracy
of thermal model depends strongly on considering each layer
in the system under study. The validity of the proposed model
has been evaluated by comparing the results obtained by the
proposed model to the simulated results achieved by FE. The
maximum difference in temperature between our model and
FEA is 2.5 °C, and the minimum difference in temperature is
Fig. 16. Transient temperature curves of die 3, time duration 12s. 1.4. Finally, it can be concluded that the proposed model can
accurately predict the thermal behavior of studied systems.
R EFERENCES
[1] J. U. Knickerbocker, P. S. Andry , B. Dang , R. R. Horton, and S. L.
Wright ”Three-dimensional silicon integration” IBM J. Res. Develop.,
vol. 52, no. 6, pp. 553-569,2008.
[2] M. Ali, M. Nabavi, A. Hassan, M. Honarparvar, Y. Savaria and M.
Sawan, ”A Versatile SoC/SiP Sensor Interface for Industrial Appli-
cations: Design Considerations”, IEEE International Conference on
Microelectronics (ICM), 2019.
[3] Y. Cheng, L. Zhang, Y. Han and X. Li, ”Thermal-constrained task
allocation for interconnect energy reduction in 3-D homogeneous MP-
SoCs”,IEEE Trans. Very Large Scale Integration (VLSI) Syst., vol. 21,
no. 2, pp. 239-249, Feb. 2013.
[4] ] S. Rangarajan, Y. Hadad, L. Choobineh, and B. Sammakia, “Mini-
mizing temperature nonuniformity by optimal arrangement of hotspots
Fig. 17. Transient temperature curves of underneath layers, time duration 2s.
in vertically stacked three-dimensional integrated circuits,” J. Electron.
Packag., vol. 142, no. 4, pp. 1–9, Dec. 2020
[5] A. M, . Rahmani, Vaddina, K, et al., ”Design and management of [25] J. Li, A. Castellazzi, M. A. Eleffendi, E. Gurpinar, C. M. Johnson, andL.
high-performance reliable and thermal-aware 3d networks-on-chip”, IET Mills, “A physical RC network model for electrothermal analysis of a
Circuits Devices Syst., vol. 6, no. 5, pp. 308-321, 2012. multichip SiC power module,”IEEE Trans. Power Electron., vol. 33,no.
[6] M.-K. Hsu, Y.-W. Chang, and V. Balabanov, “TSV-aware analytical 3, pp. 2494–2508, Mar. 2018.
placement for 3D IC designs,” in Proc. ACM Design Autom. Conf., [26] A. Jain, R. E. Jones, R. Chatterjee, and S. Pozder, “Analytical and
Jun. 2011, pp. 664–669. numerical modeling of the thermal performance of three-dimensional
[7] L. Choobineh and J. Ankur, ”Analytical solution for steady-state and integrated circuits,” IEEE Trans. Compon. Packag. Technol., vol. 33,
transient temperature fields in vertically stacked 3-D integrated circuits”, no. 1, pp. 56–63, Mar. 2010.
IEEE Transactions on Components Packaging and Manufacturing Tech- [27] Ma, N. He, M. Liserre, and F. Blaabjerg, “Frequency-domain thermal
nology, vol. 2, no. 12, pp. 2031-2039, 2012. modeling and characterization of power semiconductor devices,” IEEE
[8] L. Choobineh and A. Jain, ”An explicit analytical model for rapid Trans. Power Electron., vol. 31, no. 10, pp. 7183–7193, Oct. 2017.
computation of temperature field in a three-dimensional integrated circuit [28] Salvi, Swapnil S., and Ankur Jain. ”A Review of Recent Research on
(3D IC)”, Int. J. Thermal Sci., vol. 87, pp. 103-109, Jan. 2015. Heat Transfer in Three-Dimensional Integrated Circuits (3D ICs).” IEEE
[9] Choudhury, Khaled Redwan, and Daniel J. Rogers. ”Transient Thermal Transactions on Components, Packaging and Manufacturing Technology
Modeling of a Power Module: An N-Layer Fourier Approach.” IEEE (2021).
Transactions on Power Electronics 35.10 (2020): 10580-10591. [29] Touati, Djallel.E, Oukaira, Aziz, Ahmad Hassan, Yvon Savaria, and
[10] M. Janicki, G. DeMey and A. Napieralski, ”Transient thermal analysis Ahmed Lakhssassi. ”Foster-based Transient Thermal Analysis of SiP
of multilayered structures using Green’s functions”, Microelectron. Rel., for Thermomechanical Studies.” In 2021 19th IEEE International New
vol. 42, pp. 1059-1064, 2002. Circuits and Systems Conference (NEWCAS), pp. 1-4. IEEE, 2021.
[11] K. Wang and Z. Pan, “An analytical model for steady-state and transient [30] COMSOL Multiphysics,” version 5.5, COMSOL, Inc., Burlington, MA,
temperature fields in 3-d integrated circuits,” IEEE Trans. Compon., USA.,2020.
Packag. Manuf. Technol., vol. 6, no. 7, pp. 1026–1039, Jul. 2016. [31] Xie et al., Jianyong Xie, M. Swaminathan, “Electrical-thermal co-
simulation of 3D integrated systems with micro-fluidic cooling and
[12] Y. Gerstenmaier and G. Wachutka, ”Transient Temperature Fields With
Joule heating effects,” IEEE Transactions on Components, Packaging
General Nonlinear Boundary Conditions in Electronic Systems”, IEEE
and Manufacturing Technology, Vol. 1, No. 2, pp. 234–246, Jan. 2012
Transactions on Components and Packaging Technologis, vol. 28, no.
[32]
1, pp. 23-33, 2005.
[13] Codecasa, Lorenzo, et al. ”Fast nonlinear dynamic compact thermal
modeling with multiple heat sources in ultra-thin chip stacking technol-
ogy.” IEEE Transactions on Components, Packaging and Manufacturing
Technology 7.1 (2016): 58-69.
[14] L. Codecasa, V. d’Alessandro, A. Magnani and N. Rinaldi, ”Compact
dynamic modeling for fast simulation of nonlinear heat conduction in
ultra-thin chip stacking technology”, IEEE Trans. Compon. Packag.
Manuf. Technol., vol. 4, no. 11, pp. 1785-1795, Nov. 2014.
[15] S. Ioannis, V. Boris and G. Eby, ”Experimental analysis of thermal
coupling in 3-D integrated circuits”, IEEE Transaction on Very Large
Scale Integration Systems, vol. 23, no. 10, pp. 2077-2089, October 2015.
[16] F. Ferranti et al., ”Effective Electrothermal Analysis of Electronic De-
vices and Systems with Parameterized Macromodeling,” in IEEE Trans-
actions on Components, Packaging and Manufacturing Technology, vol.
5, no. 6, pp. 788-796, June 2015, doi: 10.1109/TCPMT.2015.2429147.
[17] Peng Xie, Li Xu, Jun-Hui Yin, Hao Wang, Zhong-Hai Yang, Bin Li, ”An
Interior Penalty Domain Decomposition Method for Thermal Analysis of
3-D Integrated Systems”, IEEE Transactions on Components, Packaging
and Manufacturing Technology, vol.11, no.3, pp.395-406, 2021.
[18] A. Sridhar, A. Vincenzi, D. Atienza and T. Brunschwiler, ”3D-ICE: A
Compact Thermal Model for Early-Stage Design of Liquid-Cooled ICs,”
in IEEE Transactions on Computers, vol. 63, no. 10, pp. 2576-2589, Oct.
2014, doi: 10.1109/TC.2013.127.
[19] Artem Aleksandrovich Andreev, Arvind Sridhar, Mohamed M. Sabry,
Marina Zapater, Patrick Ruch, Bruno Michel, David Atienza, ”Pow-
erCool: Simulation of Cooling and Powering of 3D MPSoCs with
Integrated Flow Cell Arrays”, IEEE Transactions on Computers, vol.67,
no.1, pp.73-85, 2018.
[20] Z. Liu, S. Swarup, S. X.-D. Tan, H.-B. Chen, and H. Wang, “Compact
lateral thermal resistance model of TSVs for fast finite-difference based
thermal analysis of 3-D stacked ICs,” IEEE Trans. Comput.-Aided
Design Integr. Circuits Syst., vol. 33, no. 10, pp. 1490–1502, Oct. 2014.
[21] P. Li, Y. Dong, M. Tang, J. Mao, L. J. Jiang, et al., ”Transient Thermal
Analysis of 3-D Integrated Circuits Packages by the DGTD Method,”
IEEE Transactions on Components, Packaging and Manufacturing Tech-
nology, vol. 7, no. 6, pp. 862-871, June 2017.
[22] S. Liu, C. Wang, Z. Yu, W. Tang, and W. Zhuang, “Thermal-WLP:
A transient thermal simulation method based on weighted Laguerre
polynomials for 3-D ICs,” IEEE Trans. Compon., Packag., Manuf.
Technol., vol. 7, no. 3, pp. 405–411, Mar. 2017.
[23] Li, Bo, et al. ”Efficient Transient thermal simulation of ICs and pack-
ages with laguerre-based finite-element method.” IEEE Transactions on
Components, Packaging and Manufacturing Technology 10.2 (2019):
203-211.
[24] S. S. Sapatnekar, “Addressing thermal and power delivery bottlenecks
in 3D circuits,” in Proc. Asia South Pacific Design Autom. Conf., Jan.
2009, pp. 423–428.

You might also like