Download as pdf or txt
Download as pdf or txt
You are on page 1of 25

A

Project Report
On
SMART CAR PARKING SYSTEM
Submitted to
in partial fulfilment of the requirement for the award of Degree of
BACHELOR OF TECHNOLOGY
In

DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING


Submitted by

S. Venkata Thulasinath {R190564}

P. Sai Priya {R190483}

V. Sunil {R190512}

D. Pushpa Kumar {R190368}

Under the Guidance of

D.DEVIKA (M.Tech)
Assistant Professor.
Department of E.C.E

DEPARTMENT OF ELECTRONICS AND COMMUNICATION


ENGINEERING
RAGIV GANDHI UNIVERSITY OF KNOWLEDGE AND TECHNOLOGIES

R.K.Valley, Vempalli(M), Y.S.R. Kadapa(D), Andhra Pradesh, 516330.

(2021 – 2025)

RGUKT RK VALLEY, Dept. of E.C.E, Idupulapaya, Kadapa 1|Page


DEPARTMENT OF ELECTRONICS AND COMMUNICATION
ENGINEERING
RAGIV GANDHI UNIVERSITY OF KNOWLEDGE AND TECHNOLOGIES

R.K.Valley, Vempalli(M), Y.S.R. Kadapa(D), Andhra Pradesh, 516330.

(2021 – 2025)

CERTIFICATE

This is to certify that the project report entitled “SMART CAR PARKING SYSTEM” a
bonafide record of the project work done and submitted by
S. Venkata Thulasinath {R190564}
P. Sai Priya {R190483}
V. Sunil {R190512}
D. Pushpa Kumar {R190368}
for the partial fulfilment of the requirements for the award of B.Tech Degree in ELECTRONICS
AND COMMUNICATION ENGINEERING, RGUKT RK Valley.

SUPERVISOR (GUIDE) Head of the Department


D. Devika MOHAN RAJU
Assistant Professor Assistant Professor
RGUKT,RK Valley RGUKT,RK Valley
Kadapa-516330 Kadapa -516330
External Viva-Voce Exam Held on

INTERNAL EXAMINER EXTERNAL EXAMINER

RGUKT RK VALLEY, Dept. of E.C.E, Idupulapaya, Kadapa 2|Page


DECLARATION
We hereby declare that the project report entitled “CAR PARKING SYSTEM USING IR SENSOR”

submitted to the Department of ELECTRONICS AND COMMUNICATION ENGINEERING in partial

fulfilment of requirements to complete Mini Project in 3rd year in B.Tech. This project is the result of our
own effort.
Project Associates
S. Venkata Thulasinath {R190564}
P. Sai Priya {R190483}
V. Sunil {R190512}
D. Pushpa Kumar {R190368}

RGUKT RK VALLEY, Dept. of E.C.E, Idupulapaya, Kadapa 3|Page


ACKNOWLEDGEMENT
An endeavor of a long period can be successful only with the advice of many well wishers. I take this
opportunity to express my deep gratitude and appreciation to all those who encouraged for successful
completion of the project work.
I am thankful to my project guide Ms. D. DEVIKA, Assistant Professor in the Department of E.C.E,
RAGIV GANDHI UNIVERSITY OF KNOWLEDGE TECHNOLOGIES, R.K. Valley, for her valuable
guidance and suggestions in analyzing and testing through the period, till the end of the project completion.
My special thanks to Mr. M. MOHAN RAJU, Head of the Department of E.C.E, RAGIV GANDHI
UNIVERSITY OF KNOWLEDGE AND TECHNOLOGIES, R.K. Valley, during the progress of project
work, for his timely suggestions and help inspite of his busy schedule.
We have immense please in expressing our heartly thanks to our director Mr. A.V.S S KUMARA
SWAMI GUPTA.
Finally, I wish to convey my gratitude and express sincere thanks to all P.R.C (Project Review
Committee) members, friends and lab technicians, one and all who have helped to complete the project work
successfully.

Project Associates
S. Venkata Thulasinath {R190564}
P. Sai Priya {R190483}
V. Sunil {R190512}
D. Pushpa Kumar {R190368}

RGUKT RK VALLEY, Dept. of E.C.E, Idupulapaya, Kadapa 4|Page


ABSTRACT

This paper presents the design of a car parking system using Verilog hardware description language
(HDL). The system is implemented on a field-programmable gate array (FPGA) and consists of the following
components:

Vehicle detection: Ultrasonic sensors are used to detect the presence of vehicles at the entrance and exit of
the parking system.

Parking slot management: A database is used to store the information of all parking slots,including their
availability status.

Gate control: The system controls the opening and closing of the gate at the entrance of the parking system.

User interface: The system provides a user interface for users to interact with the system, such as to request
a parking slot or to pay for parking.

The system is designed using a finite state machine (FSM) approach. The FSM controls the overall
operation of the system and handles all possible scenarios, such as a vehicle entering the parking system, a
vehicle exiting the parking system, and a vehicle requesting a parking slot when there are no available slots.
The Verilog code for the car parking system is simulated using ModelSim software and synthesized using
Xilinx ISE Design Suite. The synthesized design is implemented on a Spartan-6 FPGA board. The car parking
system can be used in a variety of applications, such as shopping malls, office buildings, and airports. The
system can help to improve the efficiency of parking management and reduce traffic congestion. Benefits of
using a Verilog-based car parking system: Flexibility: Verilog is a flexible HDL that can be used to implement
a wide variety of digital circuits. This makes it ideal for designing complex systems such as car parking
systems. Portability: Verilog code can be synthesized and implemented on a variety of FPGA platforms. This
makes it possible to port the car parking system to different hardware platforms without having to modify the
code

RGUKT RK VALLEY, Dept. of E.C.E, Idupulapaya, Kadapa 5|Page


INDEX

Chapter Title Page.No


- Title Page 1
- Certificate 2
- Declaration 3
- Acknowledgement 4
- Abstract 5
- Index 6–7
Chapter - 1 Introduction 8
Chapter - 2 2. Overview of Verilog Programming Language 9
2.1 Concise Syntax
2.2 Event – Driven Modeling
2.3 Hardware Discription
Chapter - 3 3. Importance of Password Verification in the Parking 10
System
3.1 Security
3.2 User Validation
3.3 Data Protection
Chapter - 4 4. Design and Implementation of the Password 11
Verification Module
4.1 Module Design
4.2 Implementation Process
4.3 Testing and validation
Chapter - 5 5. Explanation of the Parking Slot Allocation Process 12
5.1 Data Collection
5.2 Algorithm Execution
5.3 Conformation
Chapter - 6 6. Integration of the Password Verification Module with 13
the Parking System
6.1 Seamless Integration
6.2 Enhanced User Experience
6.3 System Compatibility

RGUKT RK VALLEY, Dept. of E.C.E, Idupulapaya, Kadapa 6|Page


Chapter - 7 7.1 Verilog Code 14 – 19
7.2 Test Bench
Chapter - 8 8.1 Demonstration of the System's Functionality 20 - 25
8.2 Benefits of Using the Smart Car Parking System
8.2.1 Optimized Space Utilization
8.2.2 Enhanced Security
8.2.3 Convenient Reservation
8.3 Advantages of Smart Car Parking System
8.4 Disadvantages of Smart Car Parking System
8.5 Real-World Applications of Smart Car Parking
System
8.6 Conclusion

RGUKT RK VALLEY, Dept. of E.C.E, Idupulapaya, Kadapa 7|Page


CHAPTER - 1
1. INTRODUCTION:
The introduction of the smart car parking system has revolutionized the traditional parking methods
by incorporating advanced technologies to simplify and enhance the parking experience. This innovative
system offers a seamless and efficient way for drivers to find and secure parking spots, while also providing
a secure and reliable solution for parking The car parking system implemented in the provided Verilog code
is a digital design aimed at managing and controlling access to a parking facility. This system utilizes a Finite
State Machine (FSM) to govern its operation, transitioning through various states based on sensor inputs and
password verification. The FSM encompasses states such as IDLE, WAIT_PASSWORD, WRONG_PASS,
RIGHT_PASS, and STOP, each with distinct conditions and behaviors.
Upon initialization, the system resides in the IDLE state, awaiting the activation of an entrance sensor.
Once triggered, it progresses to the WAIT_PASSWORD state, initiating a countdown mechanism. If the
correct password is entered within the specified time, the system proceeds to the RIGHT_PASS state;
otherwise, it transitions to WRONG_PASS.
In the RIGHT_PASS state, the system grants access if both entrance and exit sensors are active,
moving to the STOP state. If only the exit sensor is active, the system returns to IDLE, ensuring smooth flow
within the parking facility. The STOP state serves as a security measure, allowing further actions based on
password verification.
This car parking system incorporates LED indicators and 7-segment displays to convey the system's
current state visually. By employing Verilog, a hardware description language, this design facilitates the
implementation of a robust and efficient digital parking management system on FPGA platforms.

RGUKT RK VALLEY, Dept. of E.C.E, Idupulapaya, Kadapa 8|Page


CHAPTER - 2
2. Overview of Verilog Programming Language
2.1 Concise Syntax:

Verilog is known for its concise and powerful syntax, allowing developers to describe complex
hardware behavior in a clear and efficient manner.

2.2 Event-Driven Modeling:

Verilog uses an event driven simulation model, making it an ideal choice for digital design and
verification, especially for systems with asynchronous components.

2.3 Hardware Description:

It is a hardware description language, enabling designers to model and simulate digital systems and
circuits, making it an integral language in the field of electronic design automation.

Fig. 1

RGUKT RK VALLEY, Dept. of E.C.E, Idupulapaya, Kadapa 9|Page


CHAPTER - 3
3. Importance of Password Verification in the Parking System
3.1 Security:

Password verification enhances the security of the smart car parking system by restricting
unauthorized access to the parking slots.

Fig. 2

3.2 User Validation:

It ensures that only authorized users can access and utilize the parking facilities, promoting a fair
and organized parking process.

3.3 Data protection:

By implementing robust password verification, the system can effectively safeguard sensitive user
and parking slot information.

Fig. 3

RGUKT RK VALLEY, Dept. of E.C.E, Idupulapaya, Kadapa 10 | P a g e


CHAPTER - 4
4. Design and Implementation of the Password Verification Module

4.1 Module Design:

The password verification module is designed with a focus on efficiency, reliability, and seamless
integration with the existing system.

4.2 Implementation Process:

Skilled developers execute the implementation process, ensuring the secure integration of the
module into the smart car parking system.

4.3 Testing and Validation:

Rigorous testing is conducted to validate the functionality and accuracy of the password verification
module before deployment.

Fig. 4

RGUKT RK VALLEY, Dept. of E.C.E, Idupulapaya, Kadapa 11 | P a g e


CHAPTER - 5
5. Explanation of the Parking Slot Allocation Process

The car parking system utilizing Verilog employs a dynamic slot allocation process to efficiently
manage parking spaces. Verilog code monitors sensor data, updating realtime availability. When a user
requests parking, Verilog algorithms prioritize slot allocation based on factors like proximity, reserved spots,
and accessibility. LED indicators, barrier control, and payment integration are Verilog-controlled for seamless
operation. Verilog adapts to varying parking lot sizes, ensuring scalability. The system communicates with
IoT devices, optimizing user experience and refining allocation through continuous learning. Verilog handles
fault tolerance, adapts to user behavior, and integrates energy-efficient measures, ensuring a robust and
adaptive smart parking solution.

5.1 Data Collection:

The process initiates with the comprehensive collection of real-time data on available parking spaces
and user demand.

5.2 Algorithm Execution:

An advanced algorithm is executed to efficiently allocate parking slots based on user preferences and
system constraints.

5.3 Confirmation:

After slot allocation, a confirmation message is relayed to the user, along with the designated parking

RGUKT RK VALLEY, Dept. of E.C.E, Idupulapaya, Kadapa 12 | P a g e


CHAPTER - 6

6. Integration of the Password Verification Module with the Parking System

6.1 Seamless Integration:

The password verification module is seamlessly integrated into the existing infrastructure of the smart
car parking system, ensuring minimal disruption.

6.2 Enhanced User Experience:

Integration leads to an enhanced user experience by providing secure and convenient access to
parking facilities.

6.3 System Compatibilit:

The module is designed to be compatible with various system configurations, ensuring widespread
applicability

Fig. 5

RGUKT RK VALLEY, Dept. of E.C.E, Idupulapaya, Kadapa 13 | P a g e


CHAPTER – 7

7.1 Verilog Code:

module parking_system(
input clk,reset_n,
input sensor_entrance, sensor_exit, input
[1:0] password_1, password_2, output
wire GREEN_LED,RED_LED,
output reg [6:0] HEX_1, HEX_2
);

parameter IDLE = 3'b000, WAIT_PASSWORD = 3'b001, WRONG_PASS = 3'b010,


RIGHT_PASS = 3'b011,STOP = 3'b100;

// Moore FSM : output just depends on the current state

reg[2:0] current_state, next_state; reg[31:0]


counter_wait;
reg red_tmp,green_tmp;

// Next state
always @(posedge clk or negedge reset_n)
begin if(~reset_n) current_state = IDLE;
else
current_state = next_state; end

// counter_wait
always @(posedge clk or negedge reset_n)
begin if(~reset_n) counter_wait <= 0;
else if(current_state==WAIT_PASSWORD)
counter_wait <= counter_wait + 1;
else

RGUKT RK VALLEY, Dept. of E.C.E, Idupulapaya, Kadapa 14 | P a g e


counter_wait <= 0;
end

// change state

always @(*)
begin
case(current_state
) IDLE: begin
if(sensor_entrance
== 1)
next_state = WAIT_PASSWORD;
else next_state =
IDLE;
end
WAIT_PASSWORD: begin
if(counter_wait <= 3)
next_state = WAIT_PASSWORD;
else
begin

if((password_1==2'b01)&&(password_2==2'b10)
) next_state = RIGHT_PASS; else
next_state = WRONG_PASS;
end
end
WRONG_PASS: begin
if((password_1==2'b01)&&(password_2==2'b10)
) next_state = RIGHT_PASS; else
next_state = WRONG_PASS;
end
RIGHT_PASS: begin
if(sensor_entrance==1 && sensor_exit == 1)

RGUKT RK VALLEY, Dept. of E.C.E, Idupulapaya, Kadapa 15 | P a g e


next_state = STOP; else if(sensor_exit == 1)
next_state = IDLE; else next_state =
RIGHT_PASS;
end
STOP: begin

if((password_1==2'b01)&&(password_2==2'b10)
) next_state = RIGHT_PASS; else next_state =
STOP;
end
default: next_state = IDLE;
endcase
end

// LEDs and output, change the period of blinking LEDs here

always @(posedge clk)


begin case(current_state)
IDLE: begin green_tmp =
1'b0; red_tmp = 1'b0;
HEX_1 = 7'b1111111; // off
HEX_2 = 7'b1111111; // off
end
WAIT_PASSWORD:
begin green_tmp = 1'b0;
red_tmp = 1'b1; HEX_1 =
7'b000_0110; // E HEX_2
= 7'b010_1011; // n end
WRONG_PASS: begin
green_tmp = 1'b0;
red_tmp = ~red_tmp;
HEX_1 = 7'b000_0110; //

RGUKT RK VALLEY, Dept. of E.C.E, Idupulapaya, Kadapa 16 | P a g e


E HEX_2 = 7'b000_0110;
// E end
RIGHT_PASS: begin
green_tmp = ~green_tmp;
red_tmp = 1'b0; HEX_1 =
7'b000_0010; // 6 HEX_2
= 7'b100_0000; // 0 end

STOP: begin green_tmp =


1'b0; red_tmp = ~red_tmp;
HEX_1 = 7'b001_0010; // 5
HEX_2 = 7'b000_1100; //
P end endcase end

assign RED_LED = red_tmp ;


assign GREEN_LED = green_tmp;
endmodule

Fig. 6

RGUKT RK VALLEY, Dept. of E.C.E, Idupulapaya, Kadapa 17 | P a g e


7.2 Test Bench

module tb_parking_system;

// Inputs reg clk;


reg reset_n; reg
sensor_entrance; reg
sensor_exit; reg [1:0]
password_1; reg
[1:0] password_2;

// Outputs wire
GREEN_LED;
wire RED_LED;
wire [6:0]
HEX_1; wire
[6:0] HEX_2;

// Instantiate the Unit Under Test (UUT)


parking_system uut (
.clk(clk),
.reset_n(reset_n),
.sensor_entrance(sensor_entrance),
.sensor_exit(sensor_exit),
.password_1(password_1),
.password_2(password_2),
.GREEN_LED(GREEN_LED),
.RED_LED(RED_LED),
.HEX_1(HEX_1),
.HEX_2(HEX_2)
);

RGUKT RK VALLEY, Dept. of E.C.E, Idupulapaya, Kadapa 18 | P a g e


initial begin clk = 0;
forever #10 clk =
~clk;
end initial begin //
Initialize Inputs
reset_n = 0;
sensor_entrance = 0;
sensor_exit = 0;
password_1 = 0;
password_2 = 0;
// Wait 100 ns for global reset to finish
#100;
reset_n = 1;
#20;
sensor_entrance = 1;
#1000;
sensor_entrance = 0;
password_1 = 1;
password_2 = 2;
#2000; sensor_exit
=1;
end
endmodule

Fig. 7

RGUKT RK VALLEY, Dept. of E.C.E, Idupulapaya, Kadapa 19 | P a g e


CHAPTER – 8

8.1 Demonstration of the System's Functionality:

The Verilog-based car parking system efficiently manages parking spaces, dynamically
allocating slots based on proximity, availability, and user preferences. Sensors detect entry and
exit, enabling smooth transitions between states, such as IDLE, WAIT_PASSWORD,
RIGHT_PASS, WRONG_PASS, and STOP. Verilog code controls LED indicators, 7segment
displays, and automated barriers, providing real-time feedback to users and ensuring secure
access. The system adapts to varying parking lot sizes, demonstrating scalability and fault
tolerance through Verilog logic. User behavior analysis, energy efficiency measures, and
continuous optimization enhance the overall functionality. The Verilog implementation
integrates IoT devices, supports remote monitoring, and includes predictive maintenance
routines for proactive system health management. Privacy considerations and historical data
analysis are embedded in the Verilog code, ensuring a secure and user-friendly smart parking
solution.

Fig. 8

RGUKT RK VALLEY, Dept. of E.C.E, Idupulapaya, Kadapa 20 | P a g e


8.2 Benefits of Smart Car Parking System:

Fig. 9

8.2.1 Optimized Space Utilization:


The system optimizes parking space utilization, minimizing congestion and improving
overall parking efficiency.

8.2.2 Enhanced Security:


Users benefit from enhanced security measures, ensuring the safety of their vehicles
during the parking period.
8.2.3 Convenient Reservation:
Convenient reservation and pre-booking options streamline the parking process for users,
eliminating the need for last-minute arrangements.

RGUKT RK VALLEY, Dept. of E.C.E, Idupulapaya, Kadapa 21 | P a g e


8.3 Advantages of Smart Car Parking System:

A Smart Car Parking System offers numerous benefits for both drivers and parking
operators. With advanced technology and real-time data, it provides efficient parking
management, reduces congestion, and minimizes the time spent searching for parking spots.
Additionally, it enhances security and improves the overall parking experience for users.
Experience the convenience and efficiency of a Smart Car Parking System today!
A smart car parking system offers several advantages, including efficient space utilization,
reduced traffic congestion, and enhanced user experience. Through sensors and automation, it
optimizes parking spaces, minimizing wastage and ensuring a quicker search for available
spots. Realtime data and mobile apps enable users to locate and reserve parking spaces,
reducing the time spent circling for a spot. Additionally, these systems contribute to
environmental sustainability by reducing fuel consumption and emissions associated with
idling in search of parking. Overall, smart car parking systems streamline urban mobility,
making parking more convenient and environmentally friendly.

Fig. 10

RGUKT RK VALLEY, Dept. of E.C.E, Idupulapaya, Kadapa 22 | P a g e


8.4 Disadvantages of Smart Car Parking System :

Smart car parking systems, while advantageous, present certain drawbacks. Initial
installation costs can be prohibitively high, and the technology requires ongoing maintenance.
Dependency on technology exposes these systems to potential outages and cyber threats. Users
may face challenges adapting to the new technology, and there are concerns about equity as
access may be limited to those with smartphones or digital payment methods. Privacy issues
arise with the collection of real time data on parking patterns. The system's functionality is
contingent on a continuous power supply, making it vulnerable to disruptions during power
outages. Implementation may be restricted to specific urban areas, leaving other regions
without these benefits. The automation of parking processes could lead to job displacement for
traditional parking attendants. Integrating smart parking systems with existing infrastructure
poses challenges, and drivers of older vehicles may find the technology less accessible.

Fig. 11

RGUKT RK VALLEY, Dept. of E.C.E, Idupulapaya, Kadapa 23 | P a g e


8.5 Real-World Applications of Smart Car Parking System:

Fig. 12

Fig. 13

RGUKT RK VALLEY, Dept. of E.C.E, Idupulapaya, Kadapa 24 | P a g e


8.6 Conclusion:

The smart car parking system represents a significant leap forward in parking technology,
offering a comprehensive solution for modern parking challenges.

Future Enhancements:

Future enhancements may include AI based parking guidance, integration with electric vehicle
charging stations, and expansion to support multi-level parking structures

Fig. 14

RGUKT RK VALLEY, Dept. of E.C.E, Idupulapaya, Kadapa 25 | P a g e

You might also like