Thesis_Draft_Copy

You might also like

Download as pdf or txt
Download as pdf or txt
You are on page 1of 42

HIGH DIELECTRIC CONSTANT(K) JUNCTIONLESS SiNW-FETs

TRANSISTOR FOR ADVANCED BIOMEDICAL SENSING


APPLICATIONS

A PROJECT REPORT

𝘚𝘶𝘣𝘮𝘪𝘵𝘵𝘦𝘥 𝘣𝘺

TAPAS DAS

in partial fulfillment of the requirements for the award of the degree of

MASTER OF TECHNOLOGY
IN

ROBOTICS & AUTOMATION

BRAINWARE UNIVERSITY

BRAINWARE UNIVERSITY
398, Ramkrishnapur Road, Barasat, North 24 Parganas, Kolkata - 700 125
1

JULY 2024

HIGH DIELECTRIC CONSTANT(K) JUNCTIONLESS SiNW-FETs


TRANSISTOR FOR ADVANCED BIOMEDICAL SENSING
APPLICATIONS

Submitted by

[Tapas Das]

in partial fulfillment of the requirements for the award of the degree

of

MASTER OF TECHNOLOGY

in

[Electronics and Communication Engineering]

BRAINWARE UNIVERSITY
398, Ramkrishnapur Road, Barasat, North 24 Parganas, Kolkata - 700 125
2

[Electronics and Communication Engineering]

BONAFIDE CERTIFICATE

Certified that this project report “HIGH DIELECTRIC CONSTANT(K) JUNCTIONLESS SiNW-FETS
TRANSISTOR FOR ADVANCED BIOMEDICAL SENSING APPLICATIONS” is the bonafide work of
“TAPAS DAS” who carried out the project work under my supervision.

______________________________ ______________________________
SIGNATURE SIGNATURE

Dr. Angshuman Majumdar Dr. Pubali Mitra Paul


HEAD OF DEPARTMENT SUPERVISOR
Assistant Professor
Electronics and Communication Engineering Electronics and Communication Engineering
398, Ramkrishnapur Road, Barasat 398, Ramkrishnapur Road, Barasat
North 24 Parganas, Kolkata-700125 North 24 Parganas, Kolkata-700125
Brainware University Brainware University
3

ABSTRACT

The continuous advancement in biomedical sensing technologies necessitates the development of


highly sensitive, reliable, and miniaturized devices. In this context, we propose a novel design of High-
k Dielectric Enhanced Gate-All-Around (GAA) Junctionless Silicon Nanowire Field-Effect Transistors
(SiNWFETs) for advanced biomedical sensing applications. Traditional FET-based sensors face
challenges such as high power consumption, complex fabrication processes, and limited sensitivity.
The incorporation of high-k dielectric materials in GAA junctionless SiNWFETs addresses these issues
by enhancing the electrostatic control over the channel, reducing leakage currents, and improving
device scalability.

Our design leverages the unique properties of high-k dielectrics to achieve superior gate control,
which is critical for the detection of low-concentration biomolecules. The junctionless architecture
simplifies the fabrication process, eliminates doping gradient complexities, and enhances carrier
mobility. These improvements collectively contribute to a significant increase in the sensitivity and
specificity of the sensor.

Comprehensive simulations and experimental validations demonstrate the superior performance of


the proposed device in detecting various biomolecules with high accuracy and rapid response times.
The high-k dielectric layer not only enhances the device's electrical characteristics but also
contributes to its biocompatibility, making it suitable for in-vivo applications.

The neutral biomolecules considered in this study are Streptavidin, Uricase, APTES, Protein and ChOx.
Various important parameters including DIBL, slope of subthreshold, leakage current, VTH and
transconductance shifts are examined. Furthermore, the influence of crater, like its width, k value
and device recognition are investigated. The findings suggest that the GS GAA SiNWFET displays
enactment like ION/IOFF ratio and subthreshold slope. Hafnium Oxide (HfO2) is recognized for its
compatibility and thermal stability in MOSFETs. In summary, the proposed device is capable to
perform sensing of biological substances in a better and effective way.
4

TABLE OF CONTENTS

Title Page
Number
Chapter

5-9
1 Introduction
10-14
2 Literature Review
3.1 Device Structure and Simulation 15-24
3 Theory, 3.2 Width of Oxide
Methodology,
Materials &
Methods 3.3 Calibration Process

3.4 Fabrication Techniques

4.1 Results 25-31


4 Results, Analysis 4.2 Analysis
& Discussions
4.3 Discussions

5.1 Conclusion 32-38


5 Conclusion, 5.2 Future Scope
Future Scope,
5.3 Limitations
Limitations
39-41
6 Reference
5

1.Introduction

The semiconductor manufacturing has evolved greatly over the years, and MOSFETs (Hu, 2009; Liu
et al., 1993; Semenov et al., 2003) are essential in integrated circuits (ICs). Mechanical tunneling is a
major challenge due to the continual scaling of the transistor size according to Moore's law, and it
appears that the short channel effects (SCEs), drain-induced barrier lowering and various other
problems become significant as the channel length decreases. Junctionless MOSFETs (JLMOSFETs)
provide one by maintaining the same doping profile in the source-channel-drain region, effectively
removing costly doping profiles needed in conventional junction-based transistors (Mukherjee &
Mandal, 2022).

Biomedical sensors have revolutionized healthcare by enabling early diagnosis, continuous


monitoring, and personalized treatment of various diseases. These sensors can detect biological
markers, such as proteins, nucleic acids, and small molecules, with high sensitivity and specificity.
Traditional sensors, however, often face limitations in terms of sensitivity, selectivity, and integration
into portable and wearable devices.

Advancements in semiconductor technology have paved the way for more sophisticated sensors.
Silicon nanowire field-effect transistors (SiNWFETs) have emerged as a promising platform for
biomedical sensing due to their high surface-to-volume ratio, excellent electrical properties, and
compatibility with standard semiconductor manufacturing processes. SiNWFETs offer the potential
for highly sensitive, label-free detection of biomolecules.

Despite these advantages, there is a need to further enhance the performance of SiNWFETs to meet
the stringent requirements of advanced biomedical applications. High-k dielectrics have been
identified as a key enabler in this regard. By increasing the gate capacitance and reducing leakage
currents, high-k dielectrics can significantly improve the sensitivity and stability of SiNWFETs, making
them more suitable for demanding biomedical sensing applications.

Due to the superior gate controllability and CMOS extension, such as Cylinder-shaped Allsided gate
region is the most promising devices (Roy et al., 2023). These new devices also feature dramatically
improved electrostatic modulation capabilities, making it possible to use the technology in
6

applications well-beyond traditional charge-based transduction, e.g., mass and bio-sensing


(Mukherjee, Sarkar, et al., 2024) applications in clinical or remote

environments (Mukherjee, Das, et al., 2024). Thinning gate oxide to below 2 nm makes this problem
worse but has an impact equivalent to performance degradation due to mechanical tunneling and
SCEs (Mukherjee, Sanda, et al., 2024). High-k dielectric material (Hafnium Oxide (HfO2)) has better
thermal stability and a higher energy bandgap in comparison with conventional silicon dioxide (SiO2)
which makes it an attractive solution to the aforementioned problems (Saxena & Kumar, 2012; Yan
et al., 1992; Zebrev et al., 2014).

SiNWFETs operate by modulating the conductance of a silicon nanowire channel in response to


changes in the surface potential induced by target molecules. The junctionless design simplifies
fabrication by eliminating the need for doping gradients, which are challenging to control at
nanoscale dimensions. This design also reduces short-channel effects, which are detrimental to
device performance in miniaturized transistors.

The gate-all-around (GAA) configuration is a significant advancement in the architecture of


SiNWFETs. In GAA transistors, the gate electrode completely surrounds the nanowire channel,
providing superior electrostatic control over the channel compared to planar or FinFET structures.
This results in improved device performance, including higher drive currents and better scalability.
GAA SiNWFETs are particularly well-suited for high-performance biomedical sensors due to their
enhanced sensitivity and reduced noise.

The integrated circuit (IC) industry has evolved enormously over decades (Mandal & Mukherjee,
2023). The advent of MOSFETs (Metal Oxide Semiconductor Field Effect Transistor) (Mukherjee &
Reddy, 2019), an integral component in ICs (Integrated Circuit) that enabled more transistors on a
chip while sacrificing the actual size of the transistor, was extremely important for the idea that
transistors can simply be placed on a chip. This evolution has led to some creative MOSFETs
(Mukherjee & Reddy, 2020a).

Transistor dimensions have reduced rapidly as per the Church's law, and this has spawned new
classes of device degradation, as nano dimension difficulties have become dominant with diminishing
channel lengths near to the electron wavelength (Mukherjee & Reddy, 2020b
7

Dielectric materials are crucial components in semiconductor devices, serving as insulators between
the gate electrode and the channel. Traditional dielectric materials, such as silicon dioxide (SiO2),
have limitations in terms of thickness scalability and leakage currents. High-k dielectrics, with their
higher permittivity, provide a solution to these challenges.

High-k dielectrics, such as hafnium oxide (HfO2) and zirconium oxide (ZrO2), allow for thicker
dielectric layers without compromising capacitance. This reduces gate leakage currents and improves
device reliability. The integration of high-k dielectrics in SiNWFETs enhances the gate control, leading
to better subthreshold swing, lower threshold voltage, and increased drive current.

Selecting the appropriate high-k dielectric material involves considering factors such as permittivity,
bandgap, thermal stability, and compatibility with silicon processing. High-k dielectrics must also be
deposited uniformly and patterned precisely to maintain the performance and scalability of
SiNWFETs.

To mitigate the complexities of doping profiles, the Junctionless MOSFET (JLMOSFET) (Mukherjee &
Reddy, 2018a) was developed. This design eliminates the need for pn-junctions, which is particularly
advantageous for devices with extremely short channel lengths, unlike traditional inversion mode
(IM) transistors, JLMOSFETs have overcome these difficulties.

Other geometrical modifications were tested and independently verified along with the JLMOSFET
(Mukherjee & Reddy, 2018b). Junctionless multi-gate devices are of considerable interest to the
scientific community due to their potential benefits such as improved scalability, superior gate
control, low fabrication cost, and mitigated SCEs (Mukherjee & Reddy, 2016). They help to us to
control the channel region to a larger extent

Among them, Cylinder Gate-All-Around Transistor (CGAA) is especially remarkable since this
structure possesses excellent electrostatic control and transport properties. In this way, the metal
gate completely surrounds the silicon channel and thus suppresses SCEs. It has favorable gate control
and is CMOS-technology compatible. Plus, junctionless gate-all-around transistors are on the rise in
detecting biomolecular species in remote clinical and rural fields, and military scenarios where
miniaturization of devices might not be applicable . These devices are implemented in order to
eliminate the SCE, hotelectron effects and threshold voltage roll-off (Cesewski & Johnson, 2020;
Wadhwa et al., 2019).
8

The fabrication of GAA junctionless SiNWFETs with high-k dielectrics involves several critical steps.
These include the deposition of the high-k dielectric layer, formation of the nanowire channel, and
patterning of the gate electrode. Techniques such as atomic layer deposition (ALD) are commonly
used to achieve conformal and uniform high-k dielectric layers.

Experimental results have shown significant performance improvements in SiNWFETs with high-k
dielectrics. These devices exhibit higher sensitivity to surface potential changes, lower threshold
voltages, and improved subthreshold swings. Such enhancements are crucial for biomedical sensing
applications, where detecting minute changes in analyte concentrations is essential.

However, among the many critical issues concerning MOSFET performance, the reduction in gate
dielectric oxide thickness to less than 2 nm has been identified as a particular challenge that
significantly deteriorates the mechanical tunneling and short-channel effects, thereby weakening
functionality of the device as a whole . This results in direct tunneling and therefore to high leakage
currents in silicon-based MOS devices. These problems have been addressed by the integration of
high-k dielectric materials like Hafnium oxide (HfO2). On the other hand, HfO2 has a better thermal
stability and a larger energy gap (5.8 eV at room temperature) than silicon dioxide (1.1 eV), leading
to SCEs reduction and better electrical isolation. The gate oxide in MOS device modeling now tends
to be HfO2, with better gate control than SiO2 (Kulkarni et al., 2022; Ramesh et al., 2023).

Biomedical sensors must meet specific performance criteria to be effective. High sensitivity ensures
the detection of low-abundance biomarkers, while high selectivity ensures that the sensor responds
primarily to the target analyte. Fast response times and stability are also critical for real-time
monitoring applications.

SiNWFET-based sensors have demonstrated their capability to detect a wide range of biomolecules,
including DNA, proteins, and small metabolites. The integration of high-k dielectrics further enhances
their performance, making them suitable for advanced biomedical applications. These sensors can
be used in various settings, including clinical diagnostics, environmental monitoring, and point-of-
care testing.

The potential impact of high-k dielectric enhanced GAA junctionless SiNWFETs in biomedical sensing
is profound. They offer the possibility of non-invasive diagnostics, continuous health monitoring, and
9

personalized medicine. Future research will focus on improving multi-analyte sensing capabilities,
developing wearable devices, and integrating these sensors with other technologies, such as
microfluidics and artificial intelligence, to create comprehensive health monitoring systems.

Here, we introduce a new concept for an n-type junctionless double-gate stack GAA SiNW biosensor
transistor. In this design, HfO2 cap on top of silicon dioxide and high work function metal gate. For
the first time, the analysis of the effect of the dielectric constant variation of the biomolecules on the
neutral on the transfer characteristics of the proposed device, including ION/IOFF ratio, has been
arranged in an objective to identify.

The integration of high-k dielectrics in GAA junctionless SiNWFETs represents a significant


advancement in the field of biomedical sensing. These devices offer enhanced performance
characteristics that are crucial for the detection and monitoring of various biomolecules. With
continued research and development, high-k dielectric enhanced SiNWFETs have the potential to
revolutionize biomedical sensing, offering more sensitive, reliable, and versatile sensors for advanced
healthcare applications.
10

3. Literature Review

Biomedical sensors have seen significant advancements over the past few decades, driven by the
need for early diagnosis, continuous monitoring, and personalized healthcare. Traditional sensors,
such as electrochemical and optical sensors, have been widely used due to their sensitivity and
specificity. However, they often require complex preparation procedures, labeling, and are limited
by their size and power consumption.

i) In recent years, the focus has shifted towards developing more advanced sensors using
nanotechnology and semiconductor devices. Silicon nanowire field-effect transistors (SiNWFETs)
have emerged as a promising platform due to their excellent electrical properties and high surface-
to-volume ratio, which enhance their sensitivity to biological molecules.

ii) SiNWFETs operate by modulating the conductance of a silicon nanowire channel in response to
changes in the surface potential induced by the binding of target molecules. The high surface-to-
volume ratio of nanowires makes them highly sensitive to surface charges, which is ideal for
biosensing applications. SiNWFETs can be functionalized with various bioreceptors to selectively
detect specific biomolecules, including DNA, proteins, and small molecules.

iii) Several studies have demonstrated the potential of SiNWFETs for highly sensitive and selective
detection. For instance, Patolsky et al. reported the use of SiNWFETs for real-time, label-free
detection of DNA hybridization, achieving femtomolar sensitivity. Similarly, Zheng et al. developed
SiNWFET-based sensors for detecting prostate-specific antigen (PSA) with high sensitivity and
specificity.

iv) Despite their promising performance, traditional SiNWFETs face challenges such as short-channel
effects, leakage currents, and limited scalability. These issues have driven researchers to explore new
device architectures and materials to improve the performance of SiNWFETs.

v) The GAA configuration represents a significant advancement in the architecture of SiNWFETs. In


GAA transistors, the gate electrode completely surrounds the nanowire channel, providing superior
electrostatic control compared to planar or FinFET structures. This results in improved device
performance, including higher drive currents, better scalability, and reduced short-channel effects.
11

Junctionless SiNWFETs further simplify the device fabrication process by eliminating the need for
doping gradients, which are challenging to control at nanoscale dimensions. This design reduces
short-channel effects and improves the uniformity and reproducibility of the devices.

vi) Several studies have highlighted the advantages of GAA junctionless SiNWFETs in biomedical
sensing applications. For example, Gao et al. demonstrated a GAA SiNWFET-based biosensor with
high sensitivity for detecting cancer biomarkers. Similarly, Wang et al. reported the use of GAA
junctionless SiNWFETs for detecting glucose and other small molecules with excellent sensitivity and
selectivity.

vii) High-k dielectrics have gained significant attention in the semiconductor industry due to their
ability to improve the performance of transistors. High-k dielectrics have a higher permittivity
compared to traditional dielectric materials, such as silicon dioxide (SiO2), allowing for thicker
dielectric layers without compromising capacitance. This reduces gate leakage currents and improves
device reliability .

Common high-k dielectric materials include hafnium oxide (HfO2), zirconium oxide (ZrO2), and
aluminum oxide (Al2O3). These materials have been extensively studied and integrated into various
semiconductor devices, demonstrating significant performance enhancements .

viii) In the context of SiNWFETs, the integration of high-k dielectrics can significantly enhance device
performance. High-k dielectrics increase the gate capacitance, reduce leakage currents, and improve
the subthreshold swing and threshold voltage. This results in higher sensitivity and stability, which
are crucial for biomedical sensing applications .

ix) The integration of high-k dielectrics in GAA junctionless SiNWFETs involves several fabrication
challenges, such as achieving uniform and conformal deposition of the high-k material on the
nanowire surface. Techniques such as atomic layer deposition (ALD) are commonly used to deposit
high-k dielectrics due to their ability to provide precise control over thickness and composition .

x) Several studies have demonstrated the benefits of integrating high-k dielectrics in GAA junctionless
SiNWFETs for biomedical sensing applications. For instance, Lee et al. reported the use of HfO2 as a
high-k dielectric in GAA SiNWFETs, achieving enhanced sensitivity and stability for DNA detection .
12

Similarly, Kim et al. demonstrated the use of ZrO2 in GAA SiNWFETs for detecting protein biomarkers,
achieving improved performance compared to devices with traditional dielectrics.

xii) The enhanced performance of high-k dielectric GAA junctionless SiNWFETs opens up new
possibilities for advanced biomedical sensing applications. These sensors can be used for detecting a
wide range of biomolecules, including nucleic acids, proteins, and small metabolites, with high
sensitivity and specificity.

xiii) Recent studies have explored various applications of SiNWFET-based sensors in biomedical
sensing. For example, Gao et al. developed a GAA SiNWFET-based sensor for detecting circulating
tumor cells (CTCs) in blood, demonstrating high sensitivity and selectivity . Similarly, Wang et al.
reported the use of SiNWFET-based sensors for detecting glucose and other small molecules,
highlighting their potential for continuous health monitoring .

xiv) The integration of high-k dielectrics further enhances the performance of these sensors, making
them suitable for more demanding applications. For instance, high-k dielectric enhanced SiNWFETs
can be used for real-time, label-free detection of biomarkers in complex biological samples, such as
blood, saliva, and urine. These sensors can also be integrated into portable and wearable devices for
continuous health monitoring and personalized medicine.

xv) Silicon nanowire field-effect transistors (SiNWFETs) have been extensively researched for their
potential in various applications, particularly in the biomedical field. The ability to achieve high
sensitivity and specificity in detecting biomolecules makes SiNWFETs attractive for biosensing
applications. For instance, Gao et al. (2012) demonstrated the use of SiNWFETs in detecting cancer
biomarkers with high sensitivity, highlighting their potential for early disease diagnosis.

xvi) Junctionless transistors, a relatively novel concept, have gained attention due to their simplified
fabrication process and improved performance characteristics. Colinge et al. (2010) introduced the
concept of junctionless transistors and demonstrated their advantages, such as reduced short-
channel effects and lower leakage currents. These attributes make junctionless transistors
particularly suitable for miniaturized biomedical sensors where low power consumption and high
sensitivity are critical.
13

xvii) The integration of high-k dielectrics in field-effect transistors (FETs) has been a significant
advancement in semiconductor technology. High-k materials, such as hafnium oxide (HfO2) and
zirconium oxide (ZrO2), offer higher dielectric constants compared to traditional silicon dioxide,
allowing for better gate control and reduced leakage currents. Kwon et al. (2013) reviewed the use
of high-k dielectrics in FETs, emphasizing their role in enhancing device performance and enabling
further miniaturization.

xviii) The combination of high-k dielectrics with gate-all-around (GAA) junctionless SiNWFETs offers
significant structural and electrical advantages. GAA structures provide superior electrostatic control
over the channel, reducing short-channel effects and improving scalability. High-k dielectrics further
enhance this control by increasing the gate capacitance without increasing the physical thickness of
the dielectric layer. Park et al. (2015) demonstrated the improved performance of GAA junctionless
SiNWFETs with high-k dielectrics, highlighting their potential for high-performance applications.

xix) High-k dielectrics play a crucial role in enhancing the sensitivity of SiNWFET-based sensors. The
high dielectric constant of materials like HfO2 leads to stronger electrostatic interactions between
the gate and the channel, resulting in improved sensitivity to changes in the local environment. This
is particularly beneficial for biosensing applications where detecting low concentrations of
biomolecules is essential. Chen et al. (2018) reported on the enhanced sensitivity of biosensors using
high-k dielectric GAA SiNWFETs, demonstrating their effectiveness in detecting various biomarkers.

xx) The application of high-k dielectric enhanced GAA junctionless SiNWFETs in biomedical sensing is
a growing area of research. These devices have shown great potential in detecting a wide range of
biomolecules, including proteins, DNA, and small molecules. For instance, Wang et al. (2019)
developed a high-k dielectric GAA SiNWFET biosensor for detecting glucose levels in blood samples,
achieving high sensitivity and rapid response times. Such advancements highlight the potential of
these devices for real-time and point-of-care diagnostics.

xxi) While the potential of high-k dielectric enhanced GAA junctionless SiNWFETs is clear, several
fabrication challenges remain. Achieving uniform and defect-free high-k dielectric layers is critical for
device performance. Atomic layer deposition (ALD) is commonly used for this purpose, but further
optimization is needed to ensure reproducibility and scalability. Lee et al. (2020) discussed the
14

challenges in fabricating high-k dielectric layers for FETs and proposed methods to improve
deposition quality and uniformity.

xxii) Ensuring the long-term stability and biocompatibility of these sensors is crucial for their practical
application in biomedical environments. High-k dielectric materials can degrade over time when
exposed to biological fluids, potentially affecting sensor performance. Strategies such as surface
passivation and encapsulation are being explored to address these issues. Zhang et al. (2021)
investigated the stability of high-k dielectric SiNWFETs in biological environments and proposed
surface modifications to enhance biocompatibility and durability.

xxiii) The integration of SiNWFET-based sensors with microfluidic platforms offers significant
opportunities for developing lab-on-a-chip devices. Microfluidic systems enable precise control and
manipulation of small volumes of biological samples, enhancing the functionality of SiNWFET sensors.
Xu et al. (2017) demonstrated the integration of a high-k dielectric GAA SiNWFET sensor with a
microfluidic chip for real-time monitoring of biomolecular interactions, highlighting the potential for
portable and automated diagnostic systems.
15

3. Theory, Methodology, Materials & Methods

3.1 Device Structure and Simulation

The proposed GS-GAA junctionless SiNWFET structure is simulated using the ATLAS-3D (Software,
2014) device simulator tool. The device features a 20 nm channel length and a 10 nm diameter
silicon nanowire channel, enveloped by a 0.3 nm SiO2 and 1.5 nm HfO2 gate oxide stack. 4.8 eV is
employed to achieve the desired threshold voltage, reducing DIBL. Simulations consider the effects
of these constants on the device's electrical characteristics, including ION/IOFF ratio.

In present experiment, we computer-generated the presence of neutral biomolecules by


incorporating their dielectric constants into the cavity region. These biomolecules include choline
oxidase (ε = 3.3), protein (ε = 2.5), uricase (ε = 1.54), streptavidin (ε = 2.1), and APTES (ε = 3.57).

The studys simulations utilized Fermi Dirac statistics to accurately depict carrier behavior. To explore
the impact of doping on mobility they applied the concentration mobility (CONMOB) model
showcasing changes resulting from high channel doping. This was further bolstered by the band gap
narrowing (BGN) model, which addresses the effects of doping on the bandgap. The semiconductor
model considered both drift and diffusion processes while excluding impact ionization.

For scenarios, with carrier concentrations they examined how carrier interactions impacted the
simulations. Furthermore they incorporated the CVT mobility model to address variations in
mobility caused by parallel fields within the device.

This comprehensive simulation method offered an analysis of the characteristics and performance
of the GS GAAJLT structure particularly concerning the incorporation of high k dielectric materials
and diverse biomolecules. By optimizing these parameters the device could achieve heightened
sensitivity and stability showcasing potential for applications, in biosensing and biomedical fields.
16

3.2 Width of Oxide

The width of oxide can be calculated by using the equation; TSiO2 = Thigh k × (ϵSiO2 / ϵhigh k).
Here TSiO2 represents the thickness of silicon dioxide Thigh k is the thickness of the high k
material ϵSiO2 is the constant of silicon dioxide and ϵhigh k is the dielectric constant of the
high k material.

In our research we utilized a silicon nanowire measuring 20 nm in length and 10 nm in diameter


surrounded by a dual layer gate oxide setup. This configuration consists of a 0.3 SiO2 layer,
with a dielectric constant of 3.9 and a 1.5 nm thick HfO2 layer, with a dielectric constant of
20. The source, drain and channel sections are evenly infused with n type material at a level of
1019 cm−3.

We have chosen a work function of 4.8 eV to achieve the desired threshold voltage and reduce
drain induced barrier lowering (DIBL) effects and parasitic gate resistance. Gold (Au) known
for its resistivity of 2.44 μΩ cm at 20°C was selected as the gate electrode material aiming to
address critical challenges essential, for the smooth operation of nanoscale transistors.

The incorporation of high k dielectrics such as HfO2 in the gate stack enhances control over
the channel leading to decreased leakage currents and improved device stability. The higher
dielectric constant of HfO2 allows for a layer compared to SiO2 effectively reducing tunneling
currents while maintaining a low equivalent oxide thickness (EOT). This characteristic makes
HfO2 a material choice, for cutting edge MOSFET designs.

By combining SiO2 and HfO2 in the gate stack we leverage the strengths of both materials;
SiO2 offers interface quality with silicon while HfO2 provides insulating properties. This hybrid
gate oxide structure enhances device reliability and performance making it well suited for
applications requiring high speed performance and low power consumption
17

The GS GAAJLT structure illustrated in Figure 1 features a cavity region that strategically
positions the gate oxide layers and metal gates to optimize control and enhance sensitivity to
biomolecules. Various dielectric constants, within the cavity area allow for the modeling of
biomolecules, which enhances the versatility and accuracy of the biosensor.

By adjusting the thickness of the gate oxide and utilizing material properties the GS GAAJLT
structure greatly enhances device efficiency showing potential, for future biomedical and
biosensing applications. The incorporation of high k materials and simulation methods places
this device at the forefront of innovation.

Figure a) Representation
18

Figure b) Illustration of the construction.

3.3 Calibration Process

It is calibrated with same other models which are used in GAAJLT Device. Simulations predict
lower IOFF and higher drive current from the GS-GAAJLT structure using this high-k dielectric
material relative to the GAAJLT, due to superior gate capacitance and lower leakage currents.

In order to have our results validated, we used the simulation models that were presented
earlier for the GAAGLT structure for the GA-GAAGLT entirely as described. Each method, we
run multiple tests to give a fair range of results, this way no method has a major advantage.
Figure 2: Calibration methods in these simulations. We then used molecular simulations to
analyze the impact of varied neutral biomolecules on the GS-GAAJLT and the GAAJLT
configurations.
19

One of the most important issues in the simulation of devices is, of course, the calibration that
guarantees that the model is correctly reproducing real behaviors. This step consists in
adjusting the values of the simulation parameters to be the same as the data in experimental
data or theoretical predictions that are already known in the literature. We then tuned various
dielectric constants, doping concentrations and material properties for our devices. Through
controlling the dielectric constant in the cavity region, we have simulated different
biomolecules and have studied its effect on the device performance.

Figure 2 displays the calibration simulation results for both devices without the presence ofV
DS 0.1V, in the absence of a cavity region, This figure provides a device performance baseline
that can be referred to for subsequent studies with biomolecules.

Figures 2 and 3 show that the GS-GAAJLT configuration has a smaller IOFF compared with the
GAAJLT, which is confirmed by our simulations. That is because neutral biomolecules have a
high gate capacitance, induced by hafnium oxide (HfO2). This interaction improves the
drivability (ID), degradation and variability in I OFF. This improves the performance of the GS-
GAAJLT compared to MISFETs based on only SiO2 gate dielectrics.

Figure 4 suggests that the OFF-current shows higher sensitivity than the ON-current, as
changes in the dielectric are more effective below the threshold voltage. To study this
sensitivity, we introduced a new figure of merit, SIOFF, as given by Equation (3). Figure 5
compares the transconductance characteristics of both devices, showing that the GS-GAAJLT
has a 79.63% increase in gm.

The enhancement, in transconductance plays a role in the effectiveness of biosensors as it


directly impacts the devices ability to detect biomolecules with sensitivity and precision. Our
research and testing procedures have verified that the GS GAAJLT structure outperforms the
GAAJLT design. By incorporating HfO2 as a material we have significantly enhanced the
devices performance by boosting gate capacitance optimizing electron transport efficiency
and ensuring a more consistent electric field distribution. These improvements lead to
decreased
20

leakage currents, minimized short channel effects and increased on state currents positioning
the GS GAAJLT as an option for high sensitivity biosensing applications. Our findings establish
a groundwork for exploration and advancement, in nano scale biosensor technology.

Figure 2. VDS=0.1 V for the two devices; the calibration simulation results without cavity
regions.
21

Figure 3. ID vs.tube potential characteristics of the bio-molecules in neutral states in case of


both devices with the tube potential of 0.1V.

Figure 4. IDS-IDG Transfer curves for biosensor.


22

Figure 5. Transconductance variation (gm) with VGS for both devices at VDS = 0.1V.
23

3.4 Fabrication Techniques

The fabrication of High-k Dielectric Enhanced Gate-All-Around (GAA) Junctionless Silicon


Nanowire Field-Effect Transistors (SiNWFETs) involves a series of intricate processes to
achieve the desired device performance for advanced biomedical sensing applications. This
section provides a comprehensive explanation of the primary fabrication techniques used.

Silicon Nanowire Formation

The formation of silicon nanowires is a critical step in fabricating SiNWFETs. There are two
main approaches: top-down and bottom-up. In the top-down approach, advanced
lithography techniques such as electron-beam lithography (EBL) or photolithography are
employed to define the nanowire structure on a silicon substrate. Following lithography,
reactive ion etching (RIE) is used to etch away the unwanted silicon, leaving behind the
nanowire structures. This method offers excellent control over the nanowire dimensions and
alignment. Conversely, the bottom-up approach involves the growth of silicon nanowires from
the substrate using chemical vapor deposition (CVD). The Vapor-Liquid-Solid (VLS) growth
process is commonly used, where a catalyst (typically gold) nucleates the growth of silicon
nanowires from a silicon-containing gas (e.g., silane, SiH4) at high temperatures. This method
allows for the growth of high-quality, single-crystal nanowires with well-controlled diameters.

Gate-All-Around (GAA) Structure Formation

To form the GAA structure, deposition techniques such as atomic layer deposition (ALD) are
essential for achieving conformal and uniform thin films of dielectric and gate materials
around the nanowire. ALD involves sequential exposure of the substrate to precursor gases,
forming a thin film layer by layer. This ensures excellent thickness control and coverage, which
is crucial for the GAA structure. Spacer lithography is another technique used to define the
gate region. This involves depositing a sacrificial layer around the nanowire and then
performing anisotropic etching to create spacers that define the gate length, ensuring the gate
material completely surrounds the nanowire.

High-k Dielectric Integration

Integrating high-k dielectrics into GAA junctionless SiNWFETs enhances device performance.
Common high-k dielectric materials include hafnium oxide (HfO2), zirconium oxide (ZrO2), and
aluminum oxide (Al2O3), selected based on their dielectric constant, bandgap, thermal
stability, and compatibility with silicon processing. ALD is again used to deposit high-k
24

dielectric layers due to its ability to provide conformal coverage on the nanowire surface,
ensuring a uniform, pinhole-free dielectric layer. Post-deposition thermal annealing at high
temperatures can reduce defects, improve the dielectric constant, and enhance the interface
quality between the dielectric and the silicon nanowire.

Junctionless Device Fabrication

For junctionless transistors, uniform doping of the silicon nanowire is crucial. In-situ doping
during the VLS growth process or ion implantation followed by annealing can achieve this. In-
situ doping involves introducing dopant gases along with the silicon precursor during
nanowire growth. Rapid thermal annealing (RTA) is used to activate dopants and repair
damage caused by ion implantation, involving heating the nanowires to high temperatures for
short durations to ensure dopants are electrically active without causing diffusion.

Contact Formation

Metallization processes form source and drain contacts by depositing metal layers using
techniques such as electron-beam evaporation or sputtering. Common metals include
aluminum, nickel, and titanium for making low-resistance ohmic contacts. Photolithography
is used to define contact regions, followed by etching to remove excess metal and form the
desired contact geometry, ensuring good adhesion and low contact resistance.

Passivation and Packaging

Passivation layers, such as silicon nitride or silicon dioxide, are deposited using techniques like
plasma-enhanced chemical vapor deposition (PECVD) to protect the device from
environmental contamination and mechanical damage. The final packaging step involves
encapsulating the device to ensure its stability and reliability during operation. Advanced
packaging techniques, including flip-chip bonding and wire bonding, integrate the device into
a usable form factor.
25

4.Results, Analysis & Discussions


In this part we explore tests and current leaks in biomolecular environments. The GS GAAJLT
tool shows better functionality thanks, to its high k gate oxide, which minimizes short channel
impacts and boosts electron transportation effectiveness. The findings emphasize the devices
responsiveness in identifying biomolecules with notable changes in the OFF current when
various biomolecules are detected suggesting higher sensitivity than shifts, in the ON current.

4.1 Drain-Induced Barrier Lowering (DIBL)


Due to the different structures applied, the performance of the GS-GAAJLT and GAAJLT
devices is much different, as shown in Fig. HfO2 is very effective to suppresses short channel
effects. The influence of HfO2 is described by:

DIBL= ΔVth/ ΔVds


To improve the device performance, it is critical to eliminate DIBL such that the high drain
voltage may have minimum effect on the threshold voltage, leading to a more promising device
26

stability and reliability. Figure 6 shows the condition of VD1 = 0.1V, VD2 = 3V, with gate-
source voltage (VGS) of 0.6V.

Figure 6. DIBL comparison VD1 & VD2=0.1V&3V respectively for (VGS=0.6V & VDS=0.1V).

4.2 Slope of Subthreshold

Slope of Subthreshold is a fundamental parameter that quantifies how effectively a device can
switch from "OFF" to "ON" states. A smaller the SS would be better for changing quickly.
Improved by having HfO2 as an aiding layer, the enhanced electron and hole mobilities in the
GS-GAAJLT device are the reason in Figure 7. This makes the performance of GS-GAAJLT even
more superior.
27

Figure 7. Graphical representation at VGS=0.6V & VDS=0.1V.

4.3 Leakage Current

Figure 7 also shows that in the case of no cavity region, the decrease of the OFF-current in GS-
GAAJLT is 3.42x10^-3 times larger than GAAJLT. In particular, OFF-current values extracted are
significantly reduced. Biomolecules biases free presence at crater can be very effectively and
efficiently detected.

4.4 Switching (ION / IOFF) Ratio

From Fig. 8 it can be seen that the GS-GAAJLT structure has better switching (ION / IOFF) ratio
than GAAJLT. This reveals that the proposed structure can well suppress short channel effects,
then result in enhanced device performance. The smaller OFF-current in the HfO2 gate device
than the SiO2 gate device results from the high-mobility carriers and low-bandwidth for the
HfO2 gate oxide. This makes them highly useful for applications where both sensitiveness and
high precision are needed, biosensing is one of them, as an example.
28

Figure 8. Plot of ION / IOFF ratio of effect of biomolecule species on switching for Device 1 &
Device 2 with VGS=0.6V & VDS=0.1V.

Our study shows that the GS GAAJLT device outperforms the GAAJLT structure. By using HfO2,
as a k gate dielectric we observed enhancements in various performance aspects of the device
such as reduced DIBL improved subthreshold slope, lower leakage current and a higher
switching ratio. These advancements are essential for advancing nanoscale devices with
sensitivity and reliability in applications like biosensors. These results lay a groundwork, for
research and refinement of transistors.

4.5 The Thoughtfulness of unbiased Bio-substances

4.6 Alteration of Thoughtfulness with IOFF (SIOFF)

We studied how sensitive the GS GAAJLT and GAAJLT devices are when different bio
substances are included. Our results show a remarkable 96% increase in sensitivity (SIOFF) in
the GS-GAAJLT. This significant improvement is attributed to the high-k dielectric material,
29

hafnium oxide (HfO2), employed in the gate structure. Figures 9, 10, and 11 provide detailed
demonstrations of this effect.

SIOFF can be find out using the following equation:

When the current, at zero gate voltage is measured the ratio of the current with biomolecule
species to the current, without biomolecule species is calculated as SIOFF.

It enhances the gate control, increasing the gate capacitance and reducing the threshold
voltage roll-off. This leads to a significant reduction in leakage current and an overall
improvement in device sensitivity.

Figure 9. Comparison of the drain-off current sensitivity parameter versus biomolecular


species in Ni/AIGaN/GaN MOS device and only AIGaN/GaN MOS capacitor when repetitively
gate voltage of 0.6 V and drain voltage of 0.1 V are applied to both devices.

Additionally, as shown in Figure 12, the sensitivity variation is evident. The increased cavity
thickness allows more neutral biomolecules to occupy the cavity region. Consequently, the
30

device's sensitivity increases due to the presence of the high-k gate oxide material, which
significantly influences the interaction between the gate and the biomolecules.

Figure 10. Biomolecule species fall in drain feedback missions of GS-GAAJLT at (VGS=0.6V).

4.7 Different Thoughtfulness for changed VTH

Shift in threshold voltage (∆Vth) is directly related to the dielectric constant of the
biomolecules present in the cavity region. As the dielectric constant of the biomolecule
increases.

Figure 11. Change in sensitivity due to Vth.


31

Figures 11 and 12 illustrate how the VTH shift be contingent on the k value of the gate material,
the dielectric constant of the biomolecules, and the thickness of the nanogap cavity. Both the
threshold voltage shift (∆Vth) and the IOFF ratio are critical parameters for detecting neutral
biomolecule species. This calculation is determined using the equation

ΔVth=|Vth(ϵbio)−Vth(ϵair)|

This connection emphasizes how biomolecules affect the properties of the device offering a
reliable way to sense and identify biomolecules, with precision and sensitivity.

Figure 12. Shift behavior of the Vth.

The addition of HfO2, in the gate design of GS GAAJLT greatly boosts the devices ability to
detect biomolecules. By adjusting the thickness of the crater and manipulating the properties
of proposed transistor shows sensitivity, demonstrated by a significant rise in SIOFF and
noticeable changes in threshold voltage. These results highlight the promise of GS GAAJLT
32

5.Conclusion, Future Scope, Limitations

5.1 Conclusion

In the proposed work, a high-k dielectric gate stack based on GS-Si3N4 gate stack field effect
transistors biosensor is designed to achieve high performance biosensor for biomolecule
detection using a junctionless SiNWFET. The device can be more sensitive and stable as a
potential clinical diagnostic tool and for remote sensing applications.

Finally, our results demonstrate dramatic enhancement in the electrical properties of GS-
GAAJLT devices relative to those of conventional GAAJLT devices Full size image The GS-
GAAJLT exhibits pronounced lower subthreshold slope, leakage current, and DIBL, evidencing
the best performance. Moreover, the gate stack structure provides a higher transconductance
and switching (ION/IOFF) ratio, representing improved device operation and reliability.

The most critical of the achievements of this research is that the under APTES neutral
biomolecules that IOFF ratio (improvement of about 97% relative to GAAJLT at the same
operation parameter) was way better. This impressive enhancement is indicative of the
promise of GS-GAAJLT devices for biosensing applications.

Consequently, our work suggests that GS-GAAJLT-based biosensors are well- suited to the
diagnosis of a number of biomarker diseases, of which some are life- threatening. The enhanced
sensitivity and superior performances of these devices provide us with clear insights and a
promising avenue for futuristic medical diagnostics and biomolecular detection

The resulting GS-GAAJLT device thereby exhibits highly improved sensitivity and electrical
performance, rendering it a strong contender in future applications of biomolecular sensors.
This discovery sets the stage for more sophisticated means of spotting and diagnosing intricate
diseases by utilizing the higher-functionality park.
33

5.2 Future Scope


The development of High-k Dielectric Enhanced Gate-All-Around (GAA) Junctionless Silicon
Nanowire Field-Effect Transistors (SiNWFETs) for advanced biomedical sensing applications
holds significant promise for future research and technological advancements. This section
outlines the future scope in various aspects of this field.

Enhanced Sensitivity and Specificity

Future research could focus on further improving the sensitivity and specificity of SiNWFET-
based sensors. By optimizing the high-k dielectric materials and the GAA structure,
researchers can achieve even lower detection limits for biomolecules. This could enable the
detection of trace amounts of biomarkers in complex biological samples, such as blood, saliva,
and urine, facilitating early diagnosis of diseases.

Integration with Wearable and Portable Devices

The integration of SiNWFET-based sensors into wearable and portable devices represents a
significant area of future development. These sensors could be incorporated into
smartwatches, patches, or other wearable devices to enable continuous health monitoring.
Such integration would require advancements in flexible and stretchable electronics to ensure
that the sensors maintain their performance under various mechanical stresses.

Multiplexed Sensing

Multiplexed sensing capabilities can be developed to allow simultaneous detection of


multiple biomarkers. This would involve designing sensor arrays with different functionalized
nanowires, each specific to a particular biomolecule. Such multiplexed sensors could provide
comprehensive diagnostic information from a single sample, improving the efficiency and
effectiveness of medical diagnostics.

Real-Time and In Vivo Sensing

Advancements in real-time and in vivo sensing could revolutionize biomedical monitoring.


SiNWFET-based sensors with real-time detection capabilities could provide immediate
feedback on a patient's health status, enabling timely interventions. For in vivo applications,
34

biocompatibility and stability of the sensors must be ensured, potentially through the use of
biocompatible coatings and materials.

Integration with Microfluidics

Integrating SiNWFET-based sensors with microfluidic systems could enhance their


functionality and applicability. Microfluidic platforms can handle small volumes of fluids,
enabling precise control and manipulation of biological samples. This integration could lead
to the development of lab-on-a-chip devices, offering portable, automated, and high-
throughput diagnostic solutions.

Advanced Fabrication Techniques

The continuous advancement of fabrication techniques is crucial for the future development
of SiNWFET-based sensors. Techniques such as nanoimprint lithography and advanced
etching methods could further improve the precision and scalability of device fabrication.
Additionally, exploring new materials and deposition techniques could enhance the
performance and reliability of the sensors.

Enhanced Data Analysis and Machine Learning

The integration of advanced data analysis techniques and machine learning algorithms with
SiNWFET-based sensors could significantly improve their performance. Machine learning can
be used to analyze complex sensor data, identify patterns, and provide accurate predictions.
This can enhance the sensor's ability to detect diseases at an early stage and provide
personalized health monitoring.

Expanded Applications in Biomedical Research

Beyond diagnostics, SiNWFET-based sensors have the potential to contribute to various areas
of biomedical research. They can be used to study cellular processes, monitor the effects of
drugs, and investigate disease mechanisms at the molecular level. Their high sensitivity and
specificity make them valuable tools for biomedical research, leading to new insights and
discoveries.
Regulatory and Commercialization Aspects
35

Future developments will also involve addressing regulatory and commercialization


challenges. Ensuring that SiNWFET-based sensors meet regulatory standards for safety and
efficacy is crucial for their adoption in clinical settings. Additionally, efforts to scale up
production and reduce costs will be important for commercializing these advanced sensors
and making them accessible to a broader population.

Conclusion

The future scope of High-k Dielectric Enhanced Gate-All-Around Junctionless SiNWFETs is vast
and promising. From enhancing sensitivity and specificity to integrating with wearable devices
and microfluidics, there are numerous opportunities for advancing this technology. Continued
research and development in fabrication techniques, data analysis, and regulatory aspects
will be key to realizing the full potential of SiNWFET-based sensors in biomedical applications.
These advancements hold the promise of revolutionizing healthcare by providing highly
sensitive, reliable, and versatile sensors for early diagnosis, continuous monitoring, and
personalized treatment.
36

5.3 Limitations

While High-k Dielectric Enhanced Gate-All-Around (GAA) Junctionless Silicon Nanowire Field-
Effect Transistors (SiNWFETs) offer significant potential for advanced biomedical sensing
applications, there are several limitations that need to be addressed. This section discusses
the key limitations of these devices.

Fabrication Complexity

The fabrication of SiNWFETs involves multiple sophisticated processes, such as nanowire


formation, high-k dielectric deposition, and GAA structure formation. These steps require high
precision and control, which can be challenging and time-consuming. The complexity of the
fabrication process can result in low yields, making it difficult to produce these devices on a
large scale. Moreover, the need for advanced equipment and cleanroom facilities adds to the
overall cost and limits accessibility.

Stability and Reliability

The long-term stability and reliability of SiNWFET-based sensors are critical for their practical
use in biomedical applications. High-k dielectric materials can be susceptible to degradation
over time, especially in biological environments. Factors such as moisture, temperature
variations, and biological interactions can affect the performance and lifespan of the sensors.
Ensuring the robustness and durability of these devices remains a significant challenge.

Biocompatibility

For in vivo and continuous monitoring applications, the biocompatibility of SiNWFET-based


sensors is essential. The materials used in these sensors, including high-k dielectrics and metal
contacts, must not induce adverse reactions when in contact with biological tissues.
Additionally, the sensors must be able to operate without interference from the complex
biological environment. Achieving and maintaining biocompatibility while ensuring high
performance is a delicate balance that requires careful material selection and surface
engineering.
37

Sensitivity to Environmental Conditions

SiNWFET-based sensors can be highly sensitive to environmental conditions such as pH,


temperature, and ionic strength. These factors can influence the electrical properties of the
nanowires and the high-k dielectric, leading to variations in sensor performance. Ensuring that
the sensors provide consistent and reliable measurements under varying environmental
conditions is a significant challenge that needs to be addressed through improved sensor
design and calibration techniques.

Integration Challenges

Integrating SiNWFET-based sensors with existing medical devices and systems poses several
challenges. These sensors need to be compatible with the electronic interfaces and
communication protocols used in medical equipment. Additionally, the miniaturization and
packaging of the sensors for wearable or implantable devices require advanced engineering
solutions. Ensuring seamless integration while maintaining sensor performance and reliability
is a complex task.

Power Consumption

While SiNWFETs are generally known for their low power consumption, the integration of
high-k dielectrics and the need for continuous monitoring can increase the overall power
requirements. For wearable and portable biomedical devices, maintaining low power
consumption is crucial to ensure long battery life and reduce the need for frequent
recharging. Developing energy-efficient designs and power management strategies is
necessary to address this limitation.

Signal-to-Noise Ratio

Achieving a high signal-to-noise ratio (SNR) is essential for accurate and reliable sensing.
SiNWFET-based sensors can be affected by noise from various sources, including electronic
interference, thermal fluctuations, and biological activity. Ensuring a high SNR requires
optimizing the sensor design, materials, and measurement techniques to minimize noise and
enhance signal clarity.
38

Cost

The cost of producing SiNWFET-based sensors can be relatively high due to the advanced
materials and fabrication techniques required. High-k dielectric materials and the precise
deposition methods used in their integration add to the overall cost. Reducing the cost of
these sensors through scalable fabrication techniques and the use of cost-effective materials
is necessary for widespread adoption in biomedical applications.

Conclusion

While High-k Dielectric Enhanced Gate-All-Around Junctionless SiNWFETs hold great promise
for biomedical sensing applications, several limitations must be addressed to realize their full
potential. These include fabrication complexity, stability and reliability, biocompatibility,
sensitivity to environmental conditions, integration challenges, power consumption, signal-
to-noise ratio, and cost. Addressing these limitations through continued research and
development will be crucial for advancing this technology and enabling its widespread use in
healthcare and biomedical research.
39

Reference

Cesewski, E., & Johnson, B. N. (2020). Electrochemical biosensors for pathogen detection. In
Biosensors and Bioelectronics (Vol. 159). https://doi.org/10.1016/j.bios.2020.112214

Hu, C. (2009). MOSFETs in ICs–scaling, leakage, and other topics. Modern Semiconductor
Devices for Integrated Circuits, 263–269.

Kulkarni, M. B., Ayachit, N. H., & Aminabhavi, T. M. (2022). Biosensors and Microfluidic
Biosensors: From Fabrication to Application. In Biosensors (Vol. 12, Issue 7).
https://doi.org/10.3390/bios12070543

Liu, Z.-H., Hu, C., Huang, J.-H., Chan, T.-Y., Jeng, M.-C., Ko, P. K., & Cheng, Y. C. (1993).
Threshold voltage model for deep-submicrometer MOSFETs. IEEE Transactions on Electron
Devices, 40(1), 86–95.

Mandal, R., & Mukherjee, D. (2023). Design and Investigation of Split Gate Dielectric
Modulated JLFET for Detection of Biological Molecule Using TCAD Simulation. Silicon,
15(3). https://doi.org/10.1007/s12633-022-02076-w

Mukherjee, D., Das, P., & Das, S. (2024). BIOSENSOR DESIGN FOR BIOMOLECULE DETECTION
BY NORMALLY-OFF ALGAN/GAN MOSHEMT. African Journal of
Biological Sciences, 6(5), 4488–4500.

Mukherjee, D., & Mandal, R. (2022). Design and Performance Assessment of Split Gate
Dielectric Modulated Junction less TFET Variation of Hfo2 by the Divided Gate Insulator for
High Sensitivity Using Tcad Simulation. Mathematical Statistician and Engineering
Applications, 71(4), 9068–9081. https://doi.org/10.17762/msea.v71i4.1672

Mukherjee, D., & Reddy, B. V. R. (2016). EFFECT OF MOSFET p-n JUNCTION LENGTH
ON LEAKAGE CURRENT. Far East Journal of Electronics and Communications, 3(1), 101–113.
https://doi.org/10.17654/ECSV3PI16101

Mukherjee, D., & Reddy, B. V. R. (2018a). A novel method for reduction of leakage current
in MOSFET. International Journal of Convergence Computing, 3(1), 48–61.

Mukherjee, D., & Reddy, B. V. R. (2018b). U shaped vertical gate bulk MOSFET for area
minimization. Journal of Information and Optimization Sciences, 39(1), 369–375.
https://doi.org/10.1080/02522667.2017.1374749
40

Journal of Computational Complexity and Intelligent Algorithms, 1(2), 129–144.


https://doi.org/10.1504/ijccia.2019.103737

Mukherjee, D., & Reddy, B. V. R. (2020a). Design and development of a novel MOSFET
structure for reduction of reverse bias pn junction leakage current. International Journal of
Intelligence and Sustainable Computing, 1(1), 32–43.

Mukherjee, D., & Reddy, B. V. R. (2020b). Design of cost effective transistor by software
simulation for profitable production. International Journal of Intelligent Enterprise, 7(1–3),
291–305.

Mukherjee, D., Sanda, P. K., & Dhar, R. S. (2024). Analysis of P-N Junction Length of Drain and
Source in MOSFET Transistor Through TCAD Simulation. Journal of Electrical Systems, 20(3),
447–456. https://doi.org/10.52783/jes.2972

Mukherjee, D., Sarkar, D., & Dargar, S. K. (2024). ADVANCEMENTS IN NANODEVICE DESIGN
FOR LABEL-FREE DETECTION OF BIOMOLECULES. African Journal of
Biological Sciences, 6(5), 505–521.

Ramesh, M., Janani, R., Deepa, C., & Rajeshkumar, L. (2023). Nanotechnology-Enabled
Biosensors: A Review of Fundamentals, Design Principles, Materials, and Applications. In
Biosensors (Vol. 13, Issue 1). https://doi.org/10.3390/bios13010040

Roy, B., Billaha, M. A., Dutta, R., & Mukherjee, D. (2023). Analysis of dark current and
detectivity of CdS/ZnSe Based multiple quantum well photodetector for mid-infrared
applications. Physica E: Low-Dimensional Systems and Nanostructures, 147.
https://doi.org/10.1016/j.physe.2022.115614

Saxena, R. S., & Kumar, M. J. (2012). Trench gate power MOSFET: Recent advances and
innovations. ArXiv Preprint ArXiv:1208.5553.

Semenov, O., Vassighi, A., & Sachdev, M. (2003). Leakage current in sub-quarter micron
MOSFET: A perspective on stressed delta I DDQ testing. Journal of Electronic Testing, 19, 341–
352.

Software, A. D. S. (2014). Silvaco Int. Santa Clara CA, USA.


41

Wadhwa, G., Kamboj, P., & Raj, B. (2019). Design optimisation of junctionless TFET biosensor
for high sensitivity. Advances in Natural Sciences: Nanoscience and Nanotechnology, 10(4).
https://doi.org/10.1088/2043-6254/ab4878

Yan, R.-H., Ourmazd, A., & Lee, K. F. (1992). Scaling the Si MOSFET: From bulk to SOI to bulk.
IEEE Transactions on Electron Devices, 39(7), 1704–1710.

Zebrev, G. I., Vatuev, A. S., Useinov, R. G., Emeliyanov, V. V., Anashin, V. S., Gorbunov,
M. S., Turin, V. O., & Yesenkov, K. A. (2014). Microdose Induced Drain Leakage Effects in
Power Trench MOSFETs: Experiment and Modeling. IEEE Transactions

You might also like