Download as pdf or txt
Download as pdf or txt
You are on page 1of 114

Chemical vapour deposition of coatings

K.L. Choy*
Department of Materials, Imperial College of Science, Technology and Medicine,
Prince Consort Road, London SW7 2BP, UK
Received 1 October 2000; received in revised form 1 November 2001; accepted 1 December 2001
Abstract
Chemical Vapour Deposition (CVD) of lms and coatings involve the chemical reactions of
gaseous reactants on or near the vicinity of a heated substrate surface. This atomistic deposition
method can provide highly pure materials with structural control at atomic or nanometer
scale level. Moreover, it can produce single layer, multilayer, composite, nanostructured, and
functionally graded coating materials with well controlled dimension and unique structure at
low processing temperatures. Furthermore, the unique feature of CVD over other deposition
techniques such as the non-line-of-sight-deposition capability has allowed the coating of
complex shape engineering components and the fabrication of nano-devices, carboncarbon
(CC) composites, ceramic matrix composite (CMCs), free standing shape components. The
versatility of CVD had led to rapid growth and it has become one of the main processing
methods for the deposition of thin lms and coatings for a wide range of applications,
including semiconductors (e.g. Si, Ge, Si
1-x
Ge
x
, IIIV, IIVI) for microelectronics, optoelec-
tronics, energy conversion devices; dielectrics (e.g. SiO
2
, AlN, Si
3
N
4
) for microelectronics;
refractory ceramic materials (e.g. SiC, TiN, TiB
2
, Al
2
O
3
, BN, MoSi
2
, ZrO
2
) used for hard
coatings, protection against corrosion, oxidation or as diusion barriers; metallic lms (e.g.
W, Mo, Al, Au, Cu, Pt) for microelectronics and for protective coatings; bre production (e.g.
B and SiC monolament bres) and bre coating. This contribution aims to provide a brief
overview of CVD of lms and coatings. The fundamental aspects of CVD including process
principle, deposition mechanism, reaction chemistry, thermodynamics, kinetics and transport
phenomena will be presented. In addition, the practical aspects of CVD such as the CVD sys-
tem and apparatus used, CVD process parameters, process control techniques, range of lms
synthesized, characterisation and co-relationships of structures and properties will be pre-
sented. The advantages and limitations of CVD will be discussed, and its applications will be
briey reviewed. The article will also review the development of CVD technologies based on
dierent heating methods, and the type of precursor used which has led to dierent variants
Progress in Materials Science 48 (2003) 57170
www.elsevier.com/locate/pmatsci
0079-6425/03/$ - see front matter # 2003 Elsevier Science Ltd. All rights reserved.
PI I : S0079- 6425( 01) 00009- 3
* Tel.: +44-207-594-6750; fax: +44-207-594-6750.
E-mail address: k.choy@ic.ac.uk
of CVD methods including thermally activated CVD, plasma enhanced CVD, photo-assisted
CVD, atomic layer epitaxy process, metalorganic assisted CVD. There are also variants such
as uidised-bed CVD developed for coating powders; electrochemical vapour deposition for
depositing dense lms onto porous substrates; chemical vapour inltration for the fabrication
of C-C composites and CMCs through the deposition and densication of ceramic layers onto
porous bre preforms. The emerging cost-eective CVD-based techniques such as electrostatic-
aerosol assisted CVD and ame assisted CVD will be highlighted. The scientic and technolo-
gical signicance of these dierent variants of CVD will be discussed and compared with other
vapour processing techniques such as Physical Vapour Deposition. # 2003 Elsevier Science
Ltd. All rights reserved.
Contents
1. Denition ..........................................................................................................................60
2. Background.......................................................................................................................60
2.1. Nanostructured materials .........................................................................................62
2.2. Free standing shapes ................................................................................................62
2.3. Rapid prototyping....................................................................................................63
3. Advantages and disadvantages of CVD............................................................................63
4. Thin lm and coating applications....................................................................................64
5. CVD system ......................................................................................................................65
5.1. Chemical vapour precursor supply system...............................................................66
5.2. CVD reactor .............................................................................................................67
5.3. The euent gas handling system..............................................................................67
6. Process principles and deposition mechanism...................................................................70
7. Chemical precursors and reaction chemistry.....................................................................70
8. Thermodynamics, kinetics and mass transport phenomena..............................................72
8.1. Thermodynamics ......................................................................................................72
8.1.1. Reaction feasibility...................................................................................72
8.1.2. CVD phase diagram.................................................................................75
8.2. Kinetics.....................................................................................................................76
8.3. Mass transport phenomena......................................................................................79
8.3.1. Fluid dynamics.........................................................................................79
8.4. Mass transport control .............................................................................................80
9. CVD process parameters...................................................................................................80
9.1. Coating uniformity...................................................................................................81
9.2. Coatingsubstrate adhesion......................................................................................81
9.3. Uniformity of coating composition..........................................................................82
58 K.L. Choy / Progress in Materials Science 48 (2003) 57170
10. Process monitoring and measurement of the CVD process ..............................................82
10.1. Temperature .............................................................................................................88
10.2. Chemical species .......................................................................................................88
10.3. Fluid ow .................................................................................................................89
11. Process/microstructure/property relationships in CVD ....................................................89
11.1. Epitaxial growth.......................................................................................................90
11.2. Polycrystalline growth..............................................................................................90
11.3. Whiskers ...................................................................................................................91
11.4. Amorphous...............................................................................................................91
12. Variants of CVD methods.................................................................................................94
12.1. Thermally activated chemical vapour deposition .....................................................94
12.1.1. Semiconductors, dielectric and metallic lms for microelectronics,
optoelectronics or energy conversion devices...........................................96
12.1.2. Ceramic protective coatings ................................................................... 100
12.1.3. Diusion coating.................................................................................... 103
12.1.4. Optical bres .......................................................................................... 104
12.1.5. Ceramic monolament bres ................................................................. 105
12.1.6. Fibre coating.......................................................................................... 107
12.2. Plasma enhanced chemical vapour deposition ....................................................... 110
12.2.1. Process principles ................................................................................... 110
12.2.2. Disadvantages and advantages of PECVD............................................ 111
12.2.3. Examples of applications ....................................................................... 114
12.3. Photo-assisted chemical vapour deposition............................................................ 117
12.3.1. Photo-thermal ........................................................................................ 118
12.3.2. Photolytic ............................................................................................... 118
12.3.3. Advantages............................................................................................. 119
12.3.4. Applications ........................................................................................... 119
12.4. Atomic layer epitaxy process.................................................................................. 121
12.5. Metalorganic chemical vapour deposition.............................................................. 122
12.5.1. Denition ............................................................................................... 122
12.5.2. Process characteristics and advantages .................................................. 124
12.5.3. Limitations ............................................................................................. 125
12.5.4. Applications ........................................................................................... 125
12.6. Pulsed injection metalorganic chemical vapour deposition ....................................125
12.6.1. Problems associated with the MOCVD method, especially for the
deposition of multicomponent oxides or multilayers .............................125
12.6.2. Solutions to the problems using single source and special precursor
injection methods ................................................................................... 126
12.6.3. Pulsed injection MOCVD ...................................................................... 126
12.7. Aerosol assisted chemical vapour deposition ......................................................... 128
12.7.1. Pyrosol ...................................................................................................131
12.7.2. Electrostatic assisted vapour deposition................................................. 133
12.8. Flame assisted chemical vapour deposition............................................................ 140
12.8.1. Flame-assisted vapour deposition .......................................................... 142
12.8.2. Combustion chemical vapour deposition............................................... 143
12.9. Electrochemical vapour deposition......................................................................... 144
12.9.1. Process principle..................................................................................... 145
K.L. Choy / Progress in Materials Science 48 (2003) 57170 59
1. Denition
Chemical Vapour Deposition (CVD) involves the dissociation and/or chemical
reactions of gaseous reactants in a activated (heat, light, plasma) environment, fol-
lowed by the formation of a stable solid product. The deposition involves homo-
geneous gas phase reactions, which occur in the gas phase, and/or heterogeneous
chemical reactions which occur on/near the vicinity of a heated surface leading to
the formation of powders or lms, respectively.
Though CVD has been used to produce ultrane powders, this review article is
mainly concerned with the CVD of lms and coatings. Fig. 1 shows a schematic
diagram of CVD of coatings.
2. Background
CVD is a relatively mature technique. The formation of soot due to incomplete
oxidation of rewood since prehistoric times is probably the oldest example of
deposition using CVD. The industrial exploitation of CVD could be traced back to a
patent literature by de Lodyguine in 1893 [1] who had deposited W onto carbon
lamp laments through the reduction of WCl
6
by H
2
. Around this period, the CVD
process was developed as an economically viable industrial process in the eld of
extraction and pyrometallurgy for the production of high purity refractory metals
such as Ti, Ni, Zr and Ta. For example:
12.9.2. Kinetics and growth............................................................................... 146
12.9.3. Comparison of CVD and EVD processes.............................................. 147
12.9.4. Advantages............................................................................................. 148
12.9.5. Technological status............................................................................... 148
12.9.6. Other variants ........................................................................................ 149
12.10. Chemical vapour inltration .................................................................. 149
12.10.1. Applications ........................................................................................... 150
12.10.2. Methodology.......................................................................................... 151
12.10.3. Various CVI processes ........................................................................... 153
13. Comparison of various CVD methods............................................................................ 156
14. Comparison of CVD with other coating techniques ....................................................... 157
15. Conclusions and outlook ................................................................................................ 159
Acknowledgements...............................................................................................................160
References ............................................................................................................................160
60 K.L. Choy / Progress in Materials Science 48 (2003) 57170
(a) The Van Arkel process TiI
4

1200

C
Ti 2I
2
(b) The Mond process Ni CO ( )
4

150

C
Ni 4CO
However, it is only in the past 40 years that a considerable in-depth understanding
of the process and the increasing applications of CVD have been made. CVD tech-
nology subsequently took on new dimensions with emphasis on the deposition aspects
of the process. Such change of focus from extraction to deposition has made CVD an
important technique in coating technology, for producing functional semiconducting
thin lms, and coatings with improved surface properties such as protection against
wear, corrosion, oxidation, chemical reaction, thermal shock, and neutron adsorption.
These coatings include IIIV and IIVI materials, C, B, Si, borides, carbides,
nitrides, oxides, silicides, and suldes.
In the early 1970s, CVD has attained signicant success in the manufacturing of
electronic semiconductors and protective coatings for electronic circuits. This has
supported the subsequent rapid expansion of CVD technology into many other
areas of ceramic processing especially in advanced ceramics for the manufacturing
of high temperature tool bits, turbine blades, ceramic bres, bre-reinforced com-
posites and high eciency solar cells. Today, CVD technology has found increasing
importance in the elds of aerospace, military, science and engineering. It is well
suited for the preparation of many advanced products, including bulk materials as
well as composites, coatings and lms. In short, the present applications of CVD
technology can be separated into four main categories:
(a) extraction and pyrometallurgy,
(b) electronic, and optoelectronic materials,
(c) surface modication coatings,
(d) ceramic bres and Ceramic Matrix Composites (CMCs)
The CVD coating for electronic, optoelectronic, surface modication, ceramic
bre production and CMC applications will be discussed in detail in subsequent
Fig. 1. A schematic diagram of the CVD coating.
K.L. Choy / Progress in Materials Science 48 (2003) 57170 61
sections. In addition, there is a wide scope to explore the potential applications of
CVD in the following emerging areas:
2.1. Nanostructured materials
Nanostructured materials refer to materials with dimensions less than 100 nm.
These materials have potential applications in areas such as electronics, magnetism,
optics, energy storage, electrochemistry and biomedical sciences. CVD of nanocrys-
talline powders has been reviewed by Choy [2]. Nanostructured materials in the
form of nanotubes (e.g. carbon nanotube) have been gaining considerable techno-
logical interests because they are stronger yet lighter than steel. Durability as well as
high conductivity has made them interesting materials for the fundamental physical
studies of the intrinsic properties of quasi-one-dimensional solids and quantum
connement eects. These materials are being explored for applications in advanced
electronic devices. CVD has been used to synthesise carbon nanotubes [3] and in
combination with microfabrication techniques, have been used to synthesise ordered
carbon nanotube architectures on surfaces [4]. Large-scale synthesis of aligned carbon
nanotubes has been achieved using a method based on chemical vapour deposition
catalysed by iron nanoparticles embedded in mesoporous silica [5]. Another example
is the use of 1 nm thick carbon nanotubes as nano-gas detector. These nanotubes
can detect tiny changes in an electrical current when only a small amount (20 ppm)
of poisonous gas (e.g. nitrogen dioxide and ammonia) is present at room tempera-
ture, which is more sensitive than commercially available devices that operate at
high temperatures (e.g. 500

C) [6]. Carbon nanotubes have also being explored as
tips in atomic force microscopy for systematic studies of dry etching pattern transfer
in GaAs with scale sizes of -100 nm [7], and nanoprobes in scanning probe micro-
scopy [8]. Nanotubes are capable of converting mechanical movements into electrical
signals which oer potential applications for creating high-frequency telephone lines to
carry voice and data and making on/o switches for nanoscaled computer chips [9].
2.2. Free standing shapes
Although CVD of free standing shapes (e.g. crucibles) of BN, B
4
C, Si
3
N
4
and
graphite are in commercial production for use in molten metals, ceramic and glass
processing [10], CVD has also been explored for producing other free-standing
materials. For example, free standing polycrystalline diamond lms of 20 mm dia-
meter and 550 mm thick were deposited using DC-glow discharge chemical vapour
deposition at growth rates up to 30 mm/h. Such thick free standing diamond slabs
have been explored for potential applications such as brazed tool tips, heat sinks,
infrared windows [11] superhard tools [12].
Free standing diamond bres have been investigated for their suitability as
microheaters by passing electric current through the diamond bres consisting of
125 mm diameter tungsten wire coated by 35 mm thick CVD of diamond. Tungsten
wire cored bres were heated to 1000

C in a vacuum without any visible change in
the bres [13].
62 K.L. Choy / Progress in Materials Science 48 (2003) 57170
CVD has also been used to fabricate micro-scale bres and helical structures using
a combination of a linear three-axes micropositioning system and a rotating goni-
ometer which provide many degrees of freedom (e.g. translation and rotation)
[14,15]. The 3-D structures have been fabricated by moving the focal point of the
laser beam relative to the growth structure by rotation and translation of the sub-
strate to initiate the chemical reaction and/or provide the substrate heating. For
example, Westberg et al. [15] have used photo-assisted CVD (PACVD) to produce
the silicon bre substrate on which to grow a tungsten helix coil. This procedure
resulted in the fabrication of a simple microsolenoid consisting of a tungsten helix
coil on a silicon bre using PACVD.
2.3. Rapid prototyping
CVD has been explored for rapid prototyping of near net shape components [16
19]. For example, a continuous wave visible laser beams (514 nm, 488 nm) and the
gaseous precursors of trimethylamine alane [AlH
3
.N(CH
3
)
3
] and oxygen have been
used to fabricate free-standing three-dimensional alumina that can be directly writ-
ten under software control to potentially produce micro-objects such as micro-
tweezers and micro-robots [18]. Duty et al. [19] have incorporated novel design fea-
tures into a laser-jet CVD rapid prototyping system which is capable of fabricating
net shape complex metal, ceramic, and metal/ceramic composite parts. This include
three modes of operation, a reagent gas jet delivery system, bimodal heating, a ex-
ible interface between dual chambers, and on-line deposit dimensional control. This
design enables direct fabrication of bre-reinforced composites, functionally graded
materials, and nanolaminates.
There are many publications on the subject of CVD that include books [2025],
general review articles [2630], journals [e.g. Advanced MaterialsCVD Section]
and conference proceedings sponsored by the Electrochemical Society (since 1960s),
Materials Research Society (MRS) and the Euro CVD society.
3. Advantages and disadvantages of CVD
Although CVD is a complex chemical system, it has the following distinctive
advantages:
(a) The capability of producing highly dense and pure materials.
(b) Produces uniform lms with good reproducibility and adhesion at reasonably
high deposition rates.
(c) CVD is a non-line-of-sight process with good throwing power. Therefore, it
can be used to uniformly coat complex shaped components and deposit lms
with good conformal coverage. Such distinctive feature outweighs the PVD
process.
(d) It has the ability to control crystal structure, surface morphology and orientation
of the CVD products by controlling the CVD process parameters.
K.L. Choy / Progress in Materials Science 48 (2003) 57170 63
(e) Deposition rate can be adjusted readily. Low deposition rate is favoured for
the growth of epitaxial thin lms for microelectronic applications. However,
for the deposition of thick protective coatings, a high deposition rate is pre-
ferred and it can be greater than tens of mm per hour. This is substantially
exceeded only by techniques such as plasma spraying.
(f) Reasonable processing cost for the conventional CVD technique.
(g) The exibility of using a wide range of chemical precursors such as halides,
hydrides, organometallics which enable the deposition of a large spectrum of
materials including, metal, carbides, nitrides, oxides, sulphides, IIIV and II
VI materials.
(h) Relatively low deposition temperatures, and the desired phases can be
deposited in-situ at low energies through vapour phase reactions, and
nucleation and growth on the substrate surface. This enables the deposition
of refractory materials at a small fraction of their melting temperatures. For
example, refractory materials such as SiC (melting point: 2700

C) can be
deposited at 1000

C using the following chemical reaction
CH
3
SiCl
3

H
2
SiC 3HCl
However, the drawbacks of CVD include:
(a) Chemical and safety hazards caused by the use of toxic, corrosive, ammable
and/or explosive precursor gases. However, these drawbacks have been
minimized using variants of CVD such as Electrostatic Spray Assisted Vapour
Deposition (ESAVD) and Combustion Chemical Vapour Deposition (CCVD)
methods that use more environmental friendly precursors as described in Sec-
tions 12.7 and 12.8, respectively.
(b) Dicult to deposit multicomponent materials with well controlled stoichio-
metry using multi-source precursors because dierent precursors have dier-
ent vaporisation rates. However, this limitation can be overcome using single
source chemical precursors.
(c) The use of more sophisticated reactor and/or vacuum system by CVD variants
such as low pressure or ultrahigh vacuum CVD, plasma assisted CVD and
photo-assisted CVD tends to increase the cost of fabrication. However, there are
also other variants of CVD such as Aerosol Assisted Chemical Vapour Deposi-
tion (AACVD) and Flame Assisted Chemical Vapour Deposition (FACVD)
that do not use sophisticated reactor and/or vacuum system. Such variants may
provide alternatives for applications where production cost is an issue.
4. Thin lm and coating applications
The distinctive advantages of CVD outweigh its limitations. In general, CVD is a
versatile deposition technique. It has become one of the main processing methods
for the deposition of amorphous, single-crystalline, polycrystalline thin lms and
coatings for a wide range of applications. Examples include:
64 K.L. Choy / Progress in Materials Science 48 (2003) 57170
(a) semiconductors (e.g. Si, Ge, IIIV, IIVI) for microelectronics, optoelec-
tronics, energy conversion devices (e.g. solar cells);
(b) dielectrics (e.g. SiO
2
, AlN, Si
3
N
4
, etc.) for microelectronics
(c) metallic lms (W, Pt, Mo, Al, Cu, etc.) for electronics;
(d) refractory ceramic materials (e.g. TiB
2
, SiC, B
4
C, BN, TiN, Al
2
O
3
, ZrO
2
,
MoSi
2
, diamond, etc.) used for hard coatings, protection against wear, cor-
rosion, oxidation, chemical reaction, thermal shock, neutron adsorption or as
diusion barriers;
(e) ceramic bres (e.g. SiC and C) and ceramic matrix composites (e.g. SiC/SiC,
SiC/C)
The recent development of CVD variants, such as pulsed injection CVD, ESAVD,
CCVD have enabled the deposition of multicomponent lms with well controlled
stoichiometry and microstructure. These variations have the potential of widening
the CVD applications for the deposition of
(a) ferroelectrics (e.g. PbTiO
3
, PbZrTiO
3
)
(b) superconductors (e.g. YaBa
2
Cu
3
O
7
)
(c) perovskite materials [e.g. La(Sr)MnO
3
for solid oxide fuel cell applications]
5. CVD system
CVD can be performed in a closed or open system. In the closed system, both
reactants and products are recycled. This process is normally used where reversible che-
mical reactions can occur with a temperature dierence for example in the purication
of metals as follows:
CrI
2 g ( )

>950

C
-750

C
Cr
g ( )
I
2 g ( )
or where there is dierence in chemical activity in an isothermal system (e.g. pack
cementation for aluminising, chromising, etc., see Section 12.1).
The closed reactor CVD process is less of importance nowadays since only a
small fraction of CVD processes are performed in this system. Most of the CVD
processes are of the open system type, where after deposition, the reaction chemi-
cals are removed from the reactor, with the recovery of the reactants made only if
the expense justies it.
There is no universal CVD equipment. Each piece of CVD equipment is indivi-
dually tailored for specic coating materials, substrate geometry, etc., whether it is
used for R&D or commercial production. In general, the CVD equipment consists
of three main components for example as shown in Fig. 2:
(a) chemical vapour precursor supply system,
(b) CVD reactor,
(c) euent gas handling system.
K.L. Choy / Progress in Materials Science 48 (2003) 57170 65
5.1. Chemical vapour precursor supply system
The role of this component is to generate vapour precursors and then deliver to
the reactor. The design of the CVD reactor depends on whether the starting material
is solid, liquid or gas. The sublimation of a solid precursor depends on surface area
of the solid and contact time. Liquid sources often use a bubbler to vaporise the
reactants, and a carrier gas (reactive gases such as H
2
or inert gases such as Ar) to
transport the vaporised reactants into the reactor. The delivery of reactants depends
on the source temperature, carrier gas ow rate and pressure over the source. If the
vapour pressure of the liquid precursor is known, its partial pressure can be deter-
mined and regulated by controlling the volume and ow rate of the carrier gas. The
generated vapour precursor is often metered into the CVD reactor. Flash evapora-
tion is another liquid vaporisation method. The liquid precursor is metered using a
syringe pump or peristaltic pump into the ash evaporator where it is being evaporated
instantaneously.
High purity (e.g. 99.9999%) gaseous reactants or carrier gases are delivered from
high pressure gas cylinders via ow meters (e.g. rotameters and/or mass ow meters)
and control system into the reactor. These gases are often puried further to remove
any oxygen, moisture or any contaminants picked up in the gas transfer lines prior
to entering the reactor. For examples, hydrogen can be puried using Pd or heated
chips of Cu, Ti (as gettering materials) and molecular sieves, and helium using a Pt
wool/liquid nitrogen trap [31].
For the deposition of binary or ternary component lms, the vapour precursors
are often metered and fed into a mixing chamber, prior being delivered into the
reactor. Single source CVD precursors have been developed for the deposition of
multicomponent lms for better control of the stoichiometry of the lms as separate
Fig. 2. A schematic diagram of a typical laboratory CVD equipment for the deposition of SiC coatings.
66 K.L. Choy / Progress in Materials Science 48 (2003) 57170
sources of precursors usually have dierent vaporisation rates and decomposition
temperatures which tend to give rise to non-stoichiometric lms. If the generation of
vapour reactants require the gas source to be heated above room temperature, the
gas lines require heating in order to prevent condensation.
5.2. CVD reactor
In general a CVD reactor consists of a reaction chamber equipped with a loadlock
for the transport and placement of the substrate into the chamber, a substrate
holder, and a heating system with temperature control. The main function of the
CVD reactor is used to heat the substrate to the deposition temperature. The CVD
reactor can be either a hot-wall or cold-wall. A hot-wall reactor uses a heated fur-
nace into which the substrates are placed for indirect heating. A three-zone resis-
tively heated furnace is commonly used to facilitate the control of the outer zones
and enable a uniform temperature prole to be maintained in the central deposition
zone. Though the hot wall reactor can provide very precise temperature control, the
interior of the hot wall reactor is also coated, resulting in maintenance problems and
lower deposition eciency. In addition, depletion of gaseous reactants also occurs
along the reactor. Therefore, the substrates have to be positioned 45 degree to the
gaseous reactants (Figs. 1 and 2).
In a cold wall reactor, however, only the substrate is heated, either inductively or
resistively, and the wall of the reactor is cold. Most of the CVD reactions are
endothermic. Therefore, the deposition reaction will occur on the heated substrate,
and negligible deposition on the wall of the reactor. Although these reactors are
more complex, they allow greater control over the deposition process, and minimise
the deposition onto the walls of the reactor and the depletion of the reactants.
However, the thermal convection which occurs in a cold wall reactor can create a
concentration gradient of the reactive species and result in non-uniform coatings.
This limitation can be overcome by performing the CVD cold wall deposition at a
reduced pressure. Factors which determine the heating method are the size and
geometry of the substrate, and whether it is conducting or non-conducting.
There are various reactor congurations. For examples, horizontal, vertical,
semi-pancake, barrel and multiple wafer as shown in Fig. 3.
5.3. The euent gas handling system
This component consists of a neutralizing part for the exhaust gases, and/or a
vacuum system to provide the required reduced pressure for the CVD process that
performs at low pressure or high vacuum during deposition. In general, low pressure
CVD requires a simpler vacuum system as compared to those required in the PVD
process. Low pressure CVD uses corrosion resistant rotary or vane pump. The main
function of the euent gas handling system is to remove the hazardous by-product
and the toxic unreacted precursor safely. The unreacted precursors and corrosive by-
products such as HCl are neutralised or trapped using a liquid nitrogen trap to
prevent these gases from entering the rotary or diusion pump which can cause
K.L. Choy / Progress in Materials Science 48 (2003) 57170 67
damage to the pump. Inammable gases such as hydrogen are burned o. Unreac-
ted expensive precursors (e.g. BCl
3
) may be collected at the outlet and recycled. The
recycling will only be carried out if it is economical to do so. For example, it is
uneconomical to recover about 80% of unreacted, relatively cheap SiCl
4
precursor
for the epitaxial CVD growth of Si lms. Whereas, BCl
3
is more expensive and is
routinely condensed from the unreacted H
2
and HCl by-product, and recycled with
fresh H
2
.
The degree of recycle and disposable procedures are inuenced by the deposition
eciency. The CVD reactants and products are often corrosive, toxic, poisonous,
hygroscopic, inammable, readily oxidising and have high vapour pressures. Therefore,
the post deposition section of the reactor system must be ecient to render these che-
micals harmless before disposal. Special precautions need to be taken and toxic gas
monitors are required to be installed. Disposal and safety procedures for these chemi-
cals have been reported [32]. Furthermore, abrasion problems could also arise due to
solid particles that form in the homogeneous gas phase reaction and are subse-
quently entrained in the exhaust system. Dierent CVD systems may use dierent
chemical precursors and reactions and so as recycle/disposal problems. Therefore
the euent gas handling system needs to be tailored to the individual CVD system.
Fig. 3. Various CVD reactor congurations: (a) horizontal; (b) vertical; (c) semi-pancake; (d) barrel; (e)
multiple wafer (adapted from [20,22]).
68 K.L. Choy / Progress in Materials Science 48 (2003) 57170
The CVD equipment is designed and operated using optimum processing condi-
tions to give coating with uniform thickness, surface morphology and structure and
composition. Suitable designs have taken into consideration the temperature con-
trol, reactant depletion, uid dynamics and heat transfer in the system. Most of
CVD reactor systems are designed empirically. However, it has becoming increas-
ingly common to use uid ow and heat transfer modelling. For commercial pro-
duction, the CVD system is often designed to be automated with the required
electrical and microprocessor control features. Fig. 4 shows a schematic diagram of
a commercial CVD coating system. The commercial production equipment is more
sophisticated and complex in design as compared to the laboratory CVD coater as
shown in Fig. 2, in order to accommodate large batch sizes or large scale deposition.
The commercial production equipment has a large reactor with racks to place the
components to be deposited. The problem of reactant depletion is common in a
large CVD rector and can result in non uniform coatings. Such problems can be
overcome using multiple gas injection ports.
Fig. 4. (a) A commercial low pressure CVD equipment and (b) the associated schematic diagram of the
equipment (source: Aixtron Inc., USA).
K.L. Choy / Progress in Materials Science 48 (2003) 57170 69
6. Process principles and deposition mechanism
In general, the CVD process involves the following key steps [2]:
(1) Generation of active gaseous reactant species.
(2) Transport of the gaseous species into the reaction chamber.
(3) Gaseous reactants undergo gas phase reactions forming intermediate species:
(a) at a high temperature above the decomposition temperatures of inter-
mediate species inside the reactor, homogeneous gas phase reaction can
occur where the intermediate species undergo subsequent decomposition
and/or chemical reaction, forming powders and volatile by-products in the
gas phase. The powder will be collected on the substrate surface and may
act as crystallisation centres, and the by-products are transported away
from the deposition chamber. The deposited lm may have poor adhesion.
(b) at temperatures below the dissociation of the intermediate phase, diu-
sion/convection of the intermediate species across the boundary layer (a
thin layer close to the substrate surface) occur. These intermediate species
subsequently undergo steps (4)(7).
(4) Absorption of gaseous reactants onto the heated substrate, and the hetero-
geneous reaction occurs at the gassolid interface (i.e. heated substrate)
which produces the deposit and by-product species.
(5) The deposits will diuse along the heated substrate surface forming the
crystallisation centre and growth of the lm.
(6) Gaseous by-products are removed from the boundary layer through diusion
or convection.
(7) The unreacted gaseous precursors and by-products will be transported away
from the deposition chamber.
Fig. 5 shows a schematic illustration of the key CVD steps during deposition.
For the deposition of dense lms and coatings, the process conditions are tailored
to favour the heterogeneous reaction. Whereas, a combination of heterogeneous and
homogenous gas phase reaction is preferred for the deposition of porous coatings.
7. Chemical precursors and reaction chemistry
The common precursors used in the CVD process are metals and metal hydrides,
halides, and halohydrides, and metalorganic compounds. Generally, metal halides
and halohyrides are more stable than the corresponding hydrides. The metalorganic
precursors oer the advantage of lower reaction and deposition temperatures than
halides and hydrides and are less toxic and pyrophoric. Therefore, they are gaining
wider application especially in the deposition of IIVI and IIIV semiconductors, as
70 K.L. Choy / Progress in Materials Science 48 (2003) 57170
well as high-Tc superconductors. However, most metalorganics are volatile liquids,
and require precise control of the deposition pressure.
The selection criteria of a suitable chemical precursor for coating applications are
that the precursor:
(a) is stable at room temperature.
(b) has low vaporisation temperature and high saturation of vapour pressure.
(c) can generate vapour that is stable at low temperature (i.e. before decompos-
ing or reacting at a higher temperature).
(d) has suitable deposition rate. Low deposition rates for thin lm applications (e.g.
semiconductor industry) and high deposition rates for thick coating applications.
(e) undergoes decomposition/chemical reaction at a temperature below the
melting temperature and phase transformation of the substrate depending on
the engineering applications. For example, the deposition of high tempera-
ture protective coatings (e.g. oxides), and hard coatings (e.g. carbides, bor-
ides, and nitrides) can use halides which tend to react at high temperatures
and oer high deposition rates. The deposition of thin lms (e.g. Si, GaAs,
SiO
2
) can utilise hydrides as well as halides for low temperature deposition
and low growth rates for semiconductor applications.
(f) has low toxicity, explosivity and inammable for safety of handling chemicals
and disposing of the unreacted precursor. This is especially desirable for large
scale industrial applications. For example, metalorganic precursors are gen-
erally less toxic, pyrophoric and dangerous than hydrides and are gaining
increasing usage in the semiconducting industry for the deposition of IIIV
and IIVI lms.
(g) is cost-eective for thin lm or coating deposition.
(h) is readily available at high purity electronic grade commercially.
The main chemical precursors used in CVD of lms and coatings and the asso-
ciated chemical reactions can be categorised into the following types of reactions:
Fig. 5. A schematic illustration of the key CVD steps during deposition.
K.L. Choy / Progress in Materials Science 48 (2003) 57170 71
thermal decomposition (pyrolysis), reduction, oxidation, hydrolysis, nitridation,
disproportionation, and synthesis. Table 1 gives examples of the main chemical
precursor systems and the related chemical reactions. The general characteristics of
these reactions are highlighted. A more detailed description of various chemical
reactions can be found in reference [33].
8. Thermodynamics, kinetics and mass transport phenomena
The analysis of the CVD processes includes the understanding of the (a) thermo-
dynamics, (b) chemical kinetics and (c) mass transport phenomena. However, CVD
is a complex chemical system with non-equilibrium reactions and the process is
determined by chemical kinetics and mass transport phenomena. The following
sections describe the various methods of analysing the thermodynamics, kinetics and
mass transport phenomena.
8.1. Thermodynamics
The Thermodynamic study of the phase equilibria during the CVD process provides
a basic understanding of the process prior to designing suitable deposition experiments
and provides a useful guideline for the selection of processing conditions. Prior to the
CVD deposition, it is essential to determine the feasibility of the CVD reaction, the
nature and amount of the solid and gaseous species present in the system. These can be
determined from the calculation of the thermodynamic equilibrium (i.e. the equili-
brium partial pressures of the system species) at a given set of processing conditions
such as deposition temperature, pressure and reactant concentration.
8.1.1. Reaction feasibility
The feasibility of a CVD reaction can be determined by calculating the Gibbs free
energy G
r
of the reaction for the given temperature and pressure. In order to cal-
culate the Gibbs free energy of reaction, G
r
, we have to rst determine the free
energy of formation, G
f
, of the individual species of the reactants and the pro-
ducts, using the following Eq. (1) at a temperature T [34], and followed by Eq. (2),
where H
0
f
and S
0
are standard enthalpy of formation and entropy at 298 K,
respectively, and C
p
is heat capacity.
G
f
T ( ) = H
0
f
298 ( )

T
298
C
p
dT TS
0
298 ( )

T
298
C
p
,T

dT (1)
G
r
= G
f
products ( ) G
f
reactants ( ) (2)
The thermochemical data for many species can be found in the literature such as
JANAF tables [35], CRC Handbook of Chemical data [36], Refs. [3739]. A reac-
tion will occur when G
r
value is negative, whereas a positive G
r
means that the
reaction will not occur. Under certain circumstances where there are several possible
72 K.L. Choy / Progress in Materials Science 48 (2003) 57170
Table 1
The main chemical precursor systems and related chemical reactions
CVD reaction Chemical precursor Examples T
dep
a
(

C) General characteristic
Thermal + halides TiI
4
Ti 2I
2
1200 This reaction tends to form elements
decomposition + hydrides SiH
4
Si 2H
2
6001150 A wide range of reactants is available
(pyrolysis) + metal carbonyl Fe CO ( )
5
Fe 5CO 370 This reaction occurs at a lower temperature
+ metalorganic C
8
H
10
( )
2
Cr Cr 2C
5
H
10
6C 500 than reduction reaction and does not attack
the substrate chemically
Reduction Precursor + reducing agent This reaction is used to form the elements
+ halides SiCl
4
2H
2

Si 4HCl
WF
6
3H
2

W6HF
9001200
550800
Hydrogen is the most common reducing agent
This is a reversible reaction
The reaction proceeds to the right resulting in
deposition, whereas the reverse reaction to the
left leads to etching which enable in-situ vapour
cleaning of substrate prior to deposition, especially
semiconducting lms. This reduction reaction
normally occurs at high temperatures
Oxidation Precursor + oxidising agent This reaction is used for the deposition of oxides
+ halides TiCl
4
2O
2
TiO
2
2Cl
2
The common oxidising agent used in oxidation
+ hydrides SiH
4
2O
2
SiO
2
2H
2
O 350 reactions at T
dep
below 500

C is O
2
, whereas CO
2
,
+ metalorganic Zn C
2
H
5
( )
2
4O
2
ZnO5H
2
O
2CO
250500 NO
2
, NO or N
2
O are used as oxidants for
reactions above 500

C
Hydrolysis Precursor + hydrolysing agents This reaction is used for the deposition of oxides
+ halides 2AlCl
3
3CO
2
3H
2
Al
2
O
3
6HCl 3CO
8001150 The common hydrolysing agents for the in-situ
formation of water vapour are H
2
+O
2
, CO
2
+ H
2
,
NO +H
2
. The metal halides mix with the hydrolysing
agents near the substrate surface to prevent any
premature reaction as they react readily on contact
Nitridation Precursor + nitriding agents This reaction is used for the deposition of nitrides
+ halides TiCl
4
1,2N
2
2H
2
TiN4HCl 1200 (e.g. Si
3
N
4
), oxynitride (e.g. Si
x
O
y
N
z
) and metallic
(continued on next page)
K
.
L
.
C
h
o
y
/
P
r
o
g
r
e
s
s
i
n
M
a
t
e
r
i
a
l
s
S
c
i
e
n
c
e
4
8
(
2
0
0
3
)
5
7

1
7
0
7
3
Table 1 (continued)
CVD reaction Chemical precursor Examples T
dep
a
(

C) General characteristic
+ hydrides 3SiH
4
2N
2
H
4
Si
3
N
4
10H
2
800 nitrides (e.g. TiN) and superconducting nitrides
+ halohydrides 3SiCl
2
H
2
10NH
3
Si
3
N
4
6NH
4
Cl 6H
2
6001100 (e.g. NbN). The common nitriding agents are
NH
3
,N
2
+H
2
,N
2
H
4
Disproption-
ation
+ halides GeI
2

Ge GeI
4
Reversible reaction involving in-situ formation of
3GaCl

2Ga GaCl
3
reactants and vapour etching. The reaction to the
Si SiI
4

2SiI
2
right occurs at low T
dep
, and the reverse reaction to
the left at high T
dep
Synthesis Two or more
gaseous precursors
TiCl
4
+2BCl
3
+5H
2
TiB
2
+10HCl
1100 To produce binary compounds (e.g. borides,
Ga CH
3
( )
3
AsH
3
GaAs 3CH
4
carbides, nitrides, silicides and oxides)
a
The cited deposition temperatures are for the thermally activated CVD. Plasma enhanced CVD and photo-assisted CVD will have lower deposition tem-
peratures (see Sections 12.2 and 12.3, respectively).
7
4
K
.
L
.
C
h
o
y
/
P
r
o
g
r
e
s
s
i
n
M
a
t
e
r
i
a
l
s
S
c
i
e
n
c
e
4
8
(
2
0
0
3
)
5
7

1
7
0
reactions, which are all, thermodynamically feasible, the reaction with the most
negative G
r
value will dominate because it has the most stable reaction products.
The equilibrium constant, K can be determined from Eq. (3), where R is the gas
constant and T is the deposition temperature. Once K is known, the activity (or
partial pressure) of gaseous species and products can be calculated using the law of
mass action [Eq. (4)].
K = exp G
r
,RT ( ) (3)
K = multiple of product activities or partial pressure ( ),multiple of
gaseous reactant activities or partial pressure ( )
(4)
8.1.2. CVD phase diagram
The construction of a CVD phase diagram at constant temperatures and pressures
can be achieved either by (1) the equilibrium constant or (2) the minimisation of
Gibbs free energy methods. The equilibrium constant method which requires infor-
mation of all reactive species and reactions [24,40] involves the use of non-linear
equations. The minimisation of Gibbs free energy method involves the use of linear
equations and it is independent of the reaction pathways. Therefore, the latter
method is generally more suitable especially for complex chemical systems.
The CVD phase diagram provides vital information of the equilibrium phases and
amount of the solid and gaseous species present in a given system. In addition, the
deposition eciency of a CVD reaction can also be determined from the ratio of
number of moles of a condensed phase deposited under thermodynamic conditions
to the maximum number of moles of the same phase formed if there were no ther-
modynamic or kinetic restrictions [41].
There are computer programmes such as SOLGAS [41,42], SOLGASMIX [21],
FREEMIN [43], EKVICALC and EKVIBASE [44], and MELANGE [45] which use
the minimisation of Gibbs free energy method to determine CVD phase diagrams.
Most of the calculations have been performed on functional thin lms for micro-
electronic applications. Fig. 6 shows an example of a CVD phase diagram based on
the thermodynamic equilibrium calculations using the computer programme SOL-
GAS. The diagram shows the phases that deposited under dierent input gas ratios,
temperatures and pressures. The deposition of Ti or TiB was not predicted using any
of the CVD conditions investigated [42]. Ab inito computation has been used suc-
cessfully in cases where the CVD reaction involves unstable species and there are
limited thermodynamic data such as in the SiHCl/F system [46,47].
Recent thermodynamic studies have used a statistical thermodynamic approach to
model plasma reactors [48], crystal growth of CVD diamond [49], formation of Ti-
bearing coatings on steels in a uidized bed chemical vapour deposition [50] and
gas-phase reactions in the TiClH system [51].
However, CVD is a non-equilibrium process and there has been a study that indi-
cated the thermodynamic calculations and predictions are sensitive to the accuracy/
quality of the thermodynamic data [52]. Therefore, thermodynamic calculations can
K.L. Choy / Progress in Materials Science 48 (2003) 57170 75
only provide basic information as to whether a process is feasible or not. In addi-
tion, thermodynamics can provide guidelines for selecting process conditions based
on the equilibrium analysis of the phases and the amount of the solid and gaseous
species present in the CVD system. A more precise and accurate analysis of the CVD
reactor system would require the consideration of the chemical kinetics and mass
transport phenomena.
8.2. Kinetics
CVD tends to be a non-equilibrium process. It is a complex chemical system. The
kinetics of a CVD process involves chemical reactions in the gas phase, on the sub-
strate surface, chemisorption and desorption. Ideally, the relationship of the chemi-
cal kinetics of a CVD process could be derived from the analysis of all possible
reaction pathways including those sequential and competing reactions. The surface
reactions and chemical reactions in the gas reactions ideally must be described by
their formula, and followed by the their rate equations. The overall reaction rate is
limited by the slowest reaction step.
Fig. 6. An example of the CVD phase diagram of TiB
2
using dierent gas ratio and temperatures at 0.001
and 1 atm [42].
76 K.L. Choy / Progress in Materials Science 48 (2003) 57170
The fundamentals of deposition kinetics and possible reaction pathways have been
studied in detail only in limited important industrial chemical systems such as Si
[5356] and GaAs [57,58] for the semiconductor industry. However, from the
extensive literature survey conducted by Comfort and Reif [56] on the deposition of
polycrystalline and epitaxial Si, they concluded that the kinetic data and growth
model developed for this system have been obtained under combined homogenous
and heterogeneous reactions, and the homogeneous reactions could not be sup-
pressed. Thus, there is no predictive model to analyse the surface-dominated growth,
reaction orders and the overall activation energy over a wide range of temperature
and pressure.
In-situ analysis of the interaction of active species at the substrate surface with the
aid of surface science analytical instruments [55,59,60] will provide a better under-
standing of the surface reactions and may be able to predict more accurately the
deposition kinetics of thin lms from rst principles rather than relying on the data
generated based on assumed reaction pathways. Surface analytical instruments such
as XPS, Auger spectroscopy have been used to supply information on the chemical
nature of the species, while electron energy loss spectroscopy is able to provide
information on the molecular structure of the absorbed species. However, these
instruments need to operate at ultra high vacuum (UHV) conditions. Therefore,
there are concerns that the results obtained under the UHV deposition may be dif-
ferent from those depositions performed at atmospheric or low pressure conditions.
Hence, there is an increasing interest to use optical spectroscopy techniques [61] for
the in-situ monitoring of thin lm deposition.
As a result of the expensive in-situ surface analytical instruments (especially those
requiring the use of UHV), there are limited data on the surface reactions mechan-
isms of most of CVD processes, activation or adsorption energy and the pre-expo-
nential factors. A widely used method to obtain CVD kinetic data is to determine
the deposition rate experimentally as a function of process parameters (e.g. deposition
temperature, pressure, concentration of reactants), and match them to the possible
rate-limiting reactions.
A typical plot of deposition rate as a function of temperature is shown for TiB
2
deposition from TiCl
4
and BCl
3
and hydrogen in Fig. 7 [62]. The plot complies with
the Arrhenius law:
Deposition rate = A exp E
a
,RT ( )
where A is a constant, E
a
is the apparent activation energy, R is the gas constant and
T is the deposition temperature.
The Arrhenius plot of logarithm of deposition rate versus reciprocal temperature
in Fig. 7 indicates that two dierent deposition mechanisms are operating within the
range of deposition temperature 10501450

C. When the temperature increases
from 1050 to 1350

C, the deposition rate increases rapidly in an exponential man-
ner. This indicates that the rate-limiting mechanism is surface chemical kinetics, i.e.
chemisorption, and/or chemical reaction, surface migration, lattice incorporation
and desorption. These surface processes strongly depend on the deposition tem-
K.L. Choy / Progress in Materials Science 48 (2003) 57170 77
perature. From the slope, the activation energy can be determined, in this case, it is
found to be 144 kJ/mol.
At higher temperatures, above 1350

C, the surface kinetic processes become so
rapid such that the deposition is limited by the diusion of the active gaseous species
through a boundary layer to the deposition surface. It is therefore mass transport
limited, and the deposition rate depends weakly on temperature. This behaviour
seems to illustrate a classic transition in growth behaviour from interface control at
low temperatures to transport control at high temperatures. The slope is markedly
smaller and this mechanism has an activation energy of 30 kJ/mol.
At even high temperature (region c), the deposition rate may decrease as indicated
by the dotted line (Fig. 7) due to the depletion of reactants and/or increase in the
rate of desorption. Other possible reasons for the dierence in slope may be due to
the occurrence of alternative reaction involving high temperature etching of the
corrosive reactants (e.g. TiCl
4
) and the by-products (e.g. HCl) during the deposition
of TiB
2
[62]. Such high temperature etching has also been observed in Si deposition
by HCl and SiC
2
[63].
At increasingly high deposition temperatures and reactant concentrations, homo-
geneous gas phase reactions will occur in addition to the heterogeneous reaction.
This will lead to particle formation in the gas phase and interrupt the growth of
coatings, and hence the microstructure purity and adhesion. Very often this condi-
tion will lead to the deposition of poorly adherent lms with a non uniform and/or
porous microstructure as well reduces the overall deposition eciency.
Low pressure CVD (LPCVD) normally has a dierent rate limiting step compared
to atmospheric pressure CVD (APCVD) due to the reduction in pressure. The rate
of mass transport of gaseous precursors is higher than the surface reaction rate at
low pressure during the deposition. Whereas, at atmospheric pressure the mass
Fig. 7. The Arrhenius plot CVD of TiB
2
coatings.
78 K.L. Choy / Progress in Materials Science 48 (2003) 57170
transport is slower than the surface kinetic rate. Therefore, the reaction is mass
transport or diusion limited in APCVD.
Most of the CVD processes including conventional CVD (thermal CVD),
PECVD, PACVD and Atomic Layer Epitaxy (ALE) are performed in the surface
kinetic limited regime because of the availability of abundant reactants near the
substrate surface that undergo slow chemical reactions and almost negligible local
variations of reactant concentrations in the gas phase due to the geometry of the
reactor. This condition enables the deposition of uniform lms.
Thermal CVD may be performed in the mass transport controlled regime when
high deposition rate is needed. For example, the CVD of SiC coating onto a C core
for the production of SiC monolaments, wherein the deposition is performed in the
mass transport limited regime in order to give a high deposition rate. Other types of
CVD systems such as the metallorganic assisted CVD (MOCVD) of IIIV materials
is also normally operated in the mass transport controlled regime. Non-uniform
coating thickness may occur in the mass transport controlled regime due to the
depletion of precursors. However, uniform coatings can be achieved by moving the
substrate (translation, rotation etc), introducing vapour reactants at various inlets
along the substrate and/or creating a temperature gradient. Some recent kinetics
studies can be found in references [6466].
8.3. Mass transport phenomena
Transport phenomena include
(i) uid dynamics, i.e. uid ow, mass transfer and heat transfer of the reactants
from the vapour precursor supply unit into the reactor, and
(ii) mass transport of reactants close to the substrate surface, diusion through the
boundary layer of the substrate, and desorption of the by-products from the
substrates, and transport of the by-products away fromthe substrate (see Fig. 5).
The main parameters that aect the nature of gas ow in CVD reactors are:
+ reactor temperature and temperature distribution in the system
+ reactor pressure
+ gas ow rate
+ characteristic of gas (e.g. density)
+ reactor geometry
8.3.1. Fluid dynamics
Complex reactor geometry and large thermal gradient characteristics between the
inlet and the reactor inuence the transport phenomena. Basic uid mechanics concepts
can be applied to CVD to describe the uid dynamic, and mass transfer in the gas
phase. The uid ow in a CVD process can be characterised by several dimensionless
parameters such as the Reynolds number (Re) and Knudsen number (Kn) as follows:
K.L. Choy / Progress in Materials Science 48 (2003) 57170 79
Re =
,u
j
, where ,=mass density, u=ow density, j=viscosity, and
Kn =
l
L
, where l is the mean free path and L the characteristic length.
Re denes the limit between the laminar and turbulent (Re > 2100) ow regimes
whereas, Kn denes the limits between laminar, intermediate and molecular ow
[67]. Most CVD reactors operate in the laminar regime (Re-100) due to the low
precursor ow rate. Other dimensionless parameters that are important in the char-
acterisation of the transport processes are Prandtl, Schmidt, Grashof, Peclet and
Damkohler numbers [68]. For examples, the Rayleigh and Grashof numbers indi-
cate the strength of natural convection in the system; Peclet number predicts any
diusion of downstream impurities into the deposition zone; and gas-phase Dam-
kohler number estimates the reactant residence time.
The modelling of uid dynamics in the CVD process takes into consideration
mass continuity, mass conservation, energy conservation and momentum conserva-
tion. The modelling can be used to predict the temperature, velocity and reactant
concentration proles inside a CVD reactor where the homogeneous and hetero-
geneous chemical reactions occur.
8.4. Mass transport control
The rate of mass transport is dependent on the concentration of reactants, thick-
ness of the boundary layer, diusivity of active species. These factors are inuenced
by the deposition temperature, pressure, gas ow rate, geometry of the reactor, etc.
The boundary layer is dened as the distance where the velocity of gas increases
from zero at the substrate surface to the bulk value [69]. Grove [70] has used the
stagnant-layer model to study the mass transport of the boundary layer. The thick-
ness of the boundary layer is related to the Reynold number. Increase in Re resulted
in a thinner boundary layer. Mass transport control, as discussed earlier, occurs at
high temperature and pressure, and a low ow rate of reactant.
The surface Damkohler number (Da) (also known as CVD number [63]) can be
used to characterise the relative time for transport to the reaction time at the sur-
face, and hence determines whether the process is under surface control (small Da)
or mass transport control (large Da) [68]. Some recent studies in the uid ow and
transport phenomena in CVD can be found in references [7174].
9. CVD process parameters
The main CVD process parameters such as temperature, pressure, reactant gas
concentration and total gas ow, require accurate control and monitoring. CVD
occurs through chemical reactions that include pyrolysis, oxidation, reduction, hyr-
olysis or a combination of these, and may be catalysed by the substrate. The actual
chemical reaction determines the operating temperature range required. Therefore
the thermodynamics and kinetics need to be dened.
80 K.L. Choy / Progress in Materials Science 48 (2003) 57170
The temperature at which the coating is deposited is critical as it controls both the
thermodynamics and the kinetics of the coating process. The deposition temperature
must be achieved and maintained in order for the reaction to occur on the substrate
and not in the gas phase, and with an appropriate microstructure (e.g. grain size and
shape). Small changes in the temperature (e.g. 25

C) may change the reaction,
and/or its kinetics, resulting in an inferior coating. The ability of the reactant gases
to reach the substrate surface and the temperature at which the reaction is gas dif-
fusion limited, are important in determining the uniformity of the coating [75]. The
transportation of the reactant gases to the substrate surface is controlled by the
reactant-gas partial pressure, the total reactor pressure, the reactor geometry and
the substrate architecture.
CVD processes are carried out from atmospheric pressure to high vacuum. At
atmospheric pressure, the growth processes are often considered to be transport con-
trolled. Parameters such as the substrate temperature, gas owrates, reactor geometry
and gas viscosity all aect the transport phenomena in the boundary layer. This inu-
ences the structure and composition of the deposited lms. In order to reduce the
dependence of growth rate and lm composition on the hydrodynamics in the CVD
reactor, many CVD processes are carried out at total gas pressures well below 1 atm
where chemical reactions become more important in determining the characteristics of
the deposited lms. The total pressures inside the reactor, the individual pressures of
the reactant and the product retrieval all require control. There exists a relationship
between the actual quantity of the reactant gaseous products, and their movement
path in the reactor and around the substrate. Optimising the gas ow for reactant
supply to the substrate is crucial for achieving satisfactory deposition.
Other processing conditions which aect the coating uniformity, composition and
adhesion are summarised below.
9.1. Coating uniformity
Depletion of reactants can result in a non-uniform coating thickness. This can be
overcome by
(i) translating/rotating the substrate;
(ii) improve precursor mixing by stirring the reactants and/or reversing the gas
ow direction periodically; and
(iii) tilting the substrate (e.g. 45

) to enhance the projection of down stream


substrates into the boundary layer, and/or create a temperature gradient
across the substrate.
9.2. Coatingsubstrate adhesion
The adhesion of coating onto substrate can be enhanced by avoiding
(i) substrate contamination (e.g. an inherent oxide layer due to oxidation);
K.L. Choy / Progress in Materials Science 48 (2003) 57170 81
(ii) the attack of corrosive unreacted precursors and/or by-products on the sub-
strate to form stable but weakly bonded compounds at the interface of coat-
ingsubstrate;
(iii) homogeneous gas phase nucleation which can cause the formation of weakly
adhered powdery deposit; and
(iv) depletion of a gaseous precursor which can cause dierences in gas composition
and coating thicknesses with dierent stress concentration.
9.3. Uniformity of coating composition
The composition variation across the coating parallel to the direction of reactants
can be overcome by pulsing the gaseous reactant.
10. Process monitoring and measurement of the CVD process
As discussed in the previous sections, CVD is a complex chemical system, which is
inuenced by the thermodynamics, chemical kinetics and mass transport. Modelling
of these CVD phenomena has been performed to understand and describe the
deposition process. However, the computational models used in the process model-
ling have to be validated experimentally. This can be achieved by accurate
measurement and careful monitoring of the process parameters during the CVD
process. The main process parameters are (a) gas temperatures, (b) chemical species in
the gas phase on/near the substrate surface, and (c) uid ow. The collection of the
experimental data will facilitate the optimisation of deposition conditions and
improvement in process reproducibility. The synergy of advanced process modelling
and the process monitoring are vital to enhance the understanding of the fundamentals
and mechanisms of the CVD process.
In general, these methods of process monitoring can be classied into physical
probes and optical devices. Physical probes tend to be low cost and simple to
implement. However, they are limited to analysis of localised regions, and tend to be
intrusive methods, which disturb the transport phenomena and reaction near the
substrate surface. However, optical methods do not use any intrusive probes, and
they have high spatial resolution in the presence of a rapidly changing environment
(e.g. temperature and active chemical species, etc). Furthermore, they oer the cap-
ability of selective detection of the desired species. However, the use of optical ana-
lysis methods requires some modication of the CVD reactors to allow for the
necessary access for the optical devices. Moreover, they tend to be more expensive,
complex and sophisticated analysis methods. Most of the process monitoring of
CVD processes have been investigated in detail for important chemical systems for
the microelectronics industry since they require very stringent control of the
deposition process, such as, the purity, uniformity, reproducibility and quality of the
thin lms. Tables 24 provides a brief overview of the various CVD process mon-
itoring methods. These methods have been used in laboratory scale CVD reactors
82 K.L. Choy / Progress in Materials Science 48 (2003) 57170
Table 2
The various methods used to determine the gas temperature
Method Principles Advantages Disadvantages Refs.
Thermocouple It uses ne wires of Pt-Rh (type R or S)
or CromelAlumel (type K) thermocouples
to measure the gas temperatures
+ A simple and accurate method
for measuring the gas temperature
from room temperature to 1200

C
+ It has diculty to measure gas
temperature accurately at low pressures
[78,79]
The thermocouples have small junctions
in order to minimise the need for
any convection and radiation losses
+ Under certain gas ow conditions,
low-frequency temperature oscillations
with amplitude about 20

can be detected
Raman
spectroscopy
The gas temperature is obtained by
determining the ratio of either Rayleigh
or Raman signals to a reference signal
at a known temperature
+ It can be used to obtain absolute
thermodynamic temperature
+ Good spatial resolution
+ It requires the use of sophisticated
and expensive high power laser
(orders of watts) in order to obtain
a good signal to noise ratio
[80]
Interference
holography
It measures optical-path dierences as
a result of dierences in gas densities.
+ A quantitative method to provide
real-time display of the gas temperature
[81,82]
i. If the gas density is uniform along
the laser propagations direction, the
fringes in an interference hologram
are associated to the temperature
isotherms
+ It uses low cost milliwatt lasers
+ It is applicable to all type of gases
ii. If the temperature varies along the
direction of laser propagation in a
complex CVD reactor, inverse
calculations are required to determine
the temperature elds
K
.
L
.
C
h
o
y
/
P
r
o
g
r
e
s
s
i
n
M
a
t
e
r
i
a
l
s
S
c
i
e
n
c
e
4
8
(
2
0
0
3
)
5
7

1
7
0
8
3
Table 3
Comparison of various gas phase chemical species analysis methods
Method Principles Advantages Disadvantages Refs.
Gas
chromatography
A sample containing a mixture of
chemicals is injected into a suitable
chosen column (solid absorbent)
where they can be separated by
dierential absorption on the
column and identied using a ame
ionisation or thermal conductivity
method
A quantitative method to measure
concentration of the species and their
amount in the mixture
+ Not a real time analysis method
+ It requires sampling the gaseous
species prior to analysis
+ Only able to analyse stable molecules
and not reactive intermediate species during
reaction
[8385]
Mass
spectroscopy
Electron bombardment is used to
ionise molecular gases and causes
them to fragment into dierent
masses which can be separated by
quadrupole, time-of-ight and
magnetic methods, and counted as
a function of their charge/mass ratio
A quantitative method for accurate
identication of all chemical systems
including stable and reactive molecules.
+ It requires the use of a vacuum ( -10
5
torr)
+ The use of sampling probes can perturb
the CVD system
+ No information on the structure of molecules
+ Fragmentation patterns for some reactive
species can be dicult to obtain
[8689]
Raman
spectroscopy
The dierence in energy between the
incident and scattering photons in the
Raman scattering process correspond
to vibrational or rotational frequency
characteristics of the molecule in
a sample. The signal intensity is
proportional to number density of
molecules. The Raman spectra of
vibrational transitions are commonly
used for measuring the concentration
of species
+ A real-time quantitative method of
measuring the concentration of
chemical species in a reacting gas
mixture
+ Good spatial resolution.
+ Low Raman cross-section
(scattering coverage)
[9094]
8
4
K
.
L
.
C
h
o
y
/
P
r
o
g
r
e
s
s
i
n
M
a
t
e
r
i
a
l
s
S
c
i
e
n
c
e
4
8
(
2
0
0
3
)
5
7

1
7
0
Table 3 (continued)
Method Principles Advantages Disadvantages Refs.
Coherent
anti-Stokes
Raman
spectroscopy
(CARS)
Two laser beams are used to overlap
and provide the phase matching.
Unlike Raman spectroscopy, the signal
photons in CARS are emitted in a
coherent beam. Thus, it is less prone to
interference from scattered light.
This increases the eciency of the
collection of signals
+ A sensitive analysis method
+ A suitable technique for investigating
luminescence systems such as plasma
enhanced CVD
+ Complex and sophisticated technique
+ The sensitivity of the method is limited by
the presence of resonant background
+ The use of sampling probes can perturb the
CVD system and prevent the analysis of
reactive species directly
[9597]
Infrared
spectroscopy
A sample is irradiated with IR light and
the transmission can be monitored as a
function of wavelength using dispersion
technique, tunable laser of Fourier
transform method. It can be used for both
sampling and in-situ absorption
techniques
+ A real time quantitative method for
monitoring reactant concentration
and consumption
+ It can be used to analyse gas samples
from the reactor for the study of the
CVD reaction mechanism
+ It has poor resolution for the in-situ
analysis of the reactive intermediate species
[85, 88]
[98101]
UV/visible
absorption
spectroscopy
A sample is irradiated with a light source
(e.g. ash lamp, tunable laser) where
absorption of a photon occurs and places
the molecule in an electronically excited
state. The transmission of the sample can
be monitored as a function of wavelength
+ A simple method which is suitable
for routine processmonitoring
+ Moderate sensitivity
+ Not all molecules have major
absorption peaks in the required
accessible part of the UV spectrum.
+ Limited spatial resolutions
[102,103]
Laser-induced
uorescence
spectroscopy
It involved the use of a tunable
laser to excite a molecule to an
electronically excited state, and the
uorescence from the excited state
is monitored
+ A sensitive and accurate method for
species identication.
+ High spatial resolution
+ It is suitable for monitoring reactive
intermediate species
+ It is a good technique for relative
density measurement but it is not
suitable for the absolute number
density measurements
[104107]
(continued on next page)
K
.
L
.
C
h
o
y
/
P
r
o
g
r
e
s
s
i
n
M
a
t
e
r
i
a
l
s
S
c
i
e
n
c
e
4
8
(
2
0
0
3
)
5
7

1
7
0
8
5
Table 3 (continued)
Method Principles Advantages Disadvantages Refs.
Optical
emission
spectroscopy
Light emitted by electronically
excited molecules is detected and
collected. The emission spectra
contains lines associated with
transitions from rotational and
vibrational sub-levels structure
which provides a method for the
chemical identication of the
emitting species.
+ A simple and low cost technique. + Provides information only on chemical
species in excited electron states which
chemically may not be important, and
the density distributions of the excited
state may not correlate with the ground
state distributions
+ It is more suitable for plasma CVD
rather than the conventional thermal CVD
because it requires molecules to
be electronically excited
+ It cannot detect molecules at ground state
[108111]
8
6
K
.
L
.
C
h
o
y
/
P
r
o
g
r
e
s
s
i
n
M
a
t
e
r
i
a
l
s
S
c
i
e
n
c
e
4
8
(
2
0
0
3
)
5
7

1
7
0
Table 4
Comparison of various methods used for uid mechanics analysis
Method Principles Advantages Disadvantages Refs.
Tracer Involves the introduction of
tracing material (e.g. white
smoke of TiO
2
or kerosene,
biacetyl phosphor) into the
gas stream, and the ow pattern
is visualised using light
scattering or uorescene.
+ It provides a 3-D
visualisation of the ow
+ A semi-quantitative method
+ It can cause contamination
+ Tracer may not follow the gas
streamlimes under large temperature
gradients
[112114]
Interference
holography
It measures optical path-length
dierences caused by gas density
gradient inside the reactor.
An indirect qualitative method
of measuring the ow pattern by
observing the density elds.
+ No contamination as no
tracer is needed.
+ It can detect small changes in
the index of refraction of the gas
when the gas is heated
+ It is a complex and sophisticated
method
+ Line-of-sight visualisation
technique
+ The 3-D ow pattern is dicult
to be interpreted
[115,116]
Laser
velocimetry
It measures the velocity of the
gas at the meet point of two equal
intensity laser beams that split from
a continuous-wave laser beam. At
the cross point, the two beams
interfere and create alternating light
and dark planes. The particles that
are introduced in the gas scatter
light at the cross point with amplitude
modulated at a frequency that is
directly proportional to the velocity
of the particle. Hence the absolute
velocity of the gas can be determined
from the measured frequency of the
scattered light.
+ A quantitative method of
measuring local gas velocities.
+ A highly accurate method which is
useful to verify the uid mechanics
calculations
[117119]
K
.
L
.
C
h
o
y
/
P
r
o
g
r
e
s
s
i
n
M
a
t
e
r
i
a
l
s
S
c
i
e
n
c
e
4
8
(
2
0
0
3
)
5
7

1
7
0
8
7
for the determination of gas temperature and chemical species. For the industrial
scale CVD reactors, the process monitoring methods are used to control the gas
purity and concentration, exit gas composition, temperature of the deposition
environment etc. A more in-depth review and detailed description of the process
monitoring methods can be found in reference [76] together with individually cited
papers, as listed in Tables 24. Some of the process monitoring methods can be
incorporated into a closed feed back loop system to use as diagnostic tool. Such
process monitoring and measurement methods have also been used widely in R&D
and industry of combustion science and engineering.
10.1. Temperature
The substrate temperature is often monitored and determined using thermo-
couples and optical pyrometers. However, various methods including physical
probes such as thermocouples, and optical methods (e.g. Raman spectroscopy and
interference holography), have been used to determine the temperature of gases near
the substrate surface. Table 2 provides a summary of these various methods together
with a brief description of their principles, and the advantages and disadvantages of
each technique.
10.2. Chemical species
The kinetics of a CVD process involves chemical reactions in the gas phase, on the
substrate surface, chemisorption and desorption. Diagnostic tools provide a means
of identifying the chemical species and their concentration. This will provide infor-
mation on gas phase decomposition, surface reactions, inuence of process para-
meters on the active chemical species near and on the heated substrate surface,
depletion of reactants and hence the deposition eciency. Furthermore, such che-
mical species analysis also provides essential information in determining possible
reaction pathways, including those sequential and competing reactions.
In-situ analysis of the interaction of active species at the substrate surface with the aid
of surface science analytical instruments such as XPS and Auger have been used, which
supply information on the chemical nature of the species, while electron energy loss
spectroscopy (EELS), provides information on the molecular structure of the absorbed
species. However, these instruments need to operate at UHV conditions. Hence, there
are concerns that the results obtained under the UHV deposition may be dierent from
those performed at atmospheric or low pressure conditions. Moreover, these in-situ
surface science analytical instruments are expensive. Therefore, these surface analytical
instruments have been limited to certain industrially important functional lms. How-
ever, there is increasing interest to use real-time optical spectroscopy techniques [77] for
the in-situ process monitoring during thin lm deposition.
The diagnostic tools for the analysis of chemical species in the gas phase include
those using physical probes such as gas chromatography, mass spectroscopy, and
optical analysis methods such as Raman spectroscopy, coherent anti-Stokes Raman
spectroscopy, infrared spectroscopy, UV/visible absorption spectroscopy and laser-
88 K.L. Choy / Progress in Materials Science 48 (2003) 57170
induced uorescence spectroscopy. These methods are briey reviewed and com-
pared in Table 3.
10.3. Fluid ow
Fluid ow involves the introduction of tracing material (e.g. white smoke of TiO
2
or
kerosene, biacetyl phosphor) into the gas stream, and ow pattern is visualised using
light scattering or uorescence. The uid ow pattern inside the CVD reactor can be
determined by introducing a tracing material into the gas stream (i.e. tracer method)
or optical method such as interference halography. The local gas velocity can be
determined using velocimetry. The various methods used to investigate the uid
behaviour are summarised and compared in Table 4. The analysis of uid mechanics
and temperature distribution in a reactor, together with modelling of the transport
phenomena are vital to supply essential information for the process optimisation and
the design of a reactor, especially in the scale-up of an industrial reactor.
11. Process/microstructure/property relationships in CVD
The deposition process and processing parameters aect the nucleation and
growth which in turn inuence the microstructure and hence the properties of the
coatings. The nucleation and growth kinetics are inuenced by the deposition tem-
perature and super saturation (concentration of reactive species). The super satura-
tion is aected by the total pressure and partial pressure of the active gaseous species
in the reactor. The increase in temperature increases the surface mobility of the
absorbed species of the substrate surface. Fig. 8 shows a schematic representation of
the relationships of process parameters, CVD phenomena and coating properties.
During the CVD process, chemical reactions can occur in the gas phase or near
the vicinity of the heated substrate surface. The reactions in the gas phase lead to the
homogenous nucleation of solid from the gas phase. This type of homogeneous
nucleation normally occurs at high deposition temperature and super saturation.
This results in the formation of stable solid reaction product in the form of ne
powder. Such formation is useful for the production of ultra ne powder but not for
the formation of a coating since the presence of the homogeneous nucleation toge-
ther with the heterogeneous reaction will inuence the deposited coating structure.
The powder that nucleated from the gas phase will deposit onto the substrate sur-
face and interrupt the surface nucleation and growth, which will lead to a powdery
coating with irregular structure and poor coating adhesion.
Heterogeneous reactions near the vicinity of the substrate surface, result in the
adsorption of mobile atoms/monomers on the substrate surface, which diuse to the
preferred sites on the substrate surface to form embryos and stable nuclei. Growth
will occur by the addition of adsorbed monomers to sites with lowest free energy such
as kinks and ledges to form crystallites. A thin crystal is formed upon coalescence.
Heterogeneous reactions can lead to various structures depending on successive,
corresponding, two-dimensional growth which can be:
K.L. Choy / Progress in Materials Science 48 (2003) 57170 89
11.1. Epitaxial growth
This type of growth with crystals having the same orientation as the substrate
(which exhibits almost perfect crystal structure) will lead to the deposition of lms
with epitaxial structure. Such deposition often occurs at low super saturation and
high substrate temperature to provide rapid surface diusion, leading to the
nucleation and growth of solid in a particular preferred orientation which has the
lowest interfacial free energy than any other orientation. In order to facilitate such
growth, it is important that the substrate surface be free from surface contamination
and defects. Deposition in reduced pressure or vacuum is often used to avoid con-
tamination by facilitating the desorption of impurities, and thus helps to lower the
deposition temperature. For example, the growth of epitaxial Si at atmospheric
pressure would require a high deposition temperature ( >1000

C) but epitaixal Si
can be grown at a lower temperature (e.g. 750

C) under reduced pressure (e.g. 1.3
kPa). This type of microstructure is desirable for semiconductor and superconductor
applications.
11.2. Polycrystalline growth
Polycrystalline growth is nucleation that occurs at dierent substrate surface sites
leading to the growth of islands which coalesce to form a polycrystalline layer.
Nucleation is strongly inuenced by the nature of the surface and the absorbed
Fig. 8. A schematic representation of the relationship of process parameters, CVD phenomena and
coating properties.
90 K.L. Choy / Progress in Materials Science 48 (2003) 57170
species. The control of polycrystalline growth and, in particular, the size and nature
of the crystallites is important in determining the properties of the CVD lms.
Polycrystalline growth can lead to the following microstructures:
(i) Columnar structure which consists of columnar grains of preferred orienta-
tion that grow from the rst nucleated, equiaxed layer deposited on the sub-
strate. Such microstructure is a result of high supersaturation and low
substrate temperature and hence more limited diusion. This structure is
desirable for high temperature structural applications, e.g. thermal barrier
coatings, where the stress-strain columnar structure can accommodate high
temperature thermal cycling.
(ii) Equiaxed structure which consists of ne and randomly oriented grains. It is
desirable for high mechanical strength and fracture toughness properties,
according to the Hall Petch eect. Such microstructure results from even higher
supersaturation. This enables the rapid supply of reactants to re-nucleate new
grains, and lower substrate temperature which limit surface diusion and
restrains the migration of monomers to preferred crystal lattice sites.
11.3. Whiskers
This type of microstructure results from preferential growth. It involves nuclea-
tion at preferred locations such as defects on the substrate surface, and defects in
crystal structures developed along the lines of impingement of disoriented nuclei. A
whisker can form when a substrate is intersected by a screw dislocation having its
Burgers vector normal to the substrate surface. Whisker growth occurs by the
adsorption of atoms on the sites of the whiskers followed by diusion to the tip.
Growth rate depends on the geometry of whiskers, reactant concentration and the
resident time of the adsorbed atom in the whiskers.
11.4. Amorphous
This structure tends to form at low substrate temperatures where the mobility of
the absorbed species is relatively low, thus preventing these species from migrating
to more energetic sites where nucleation can occur. An important amorphous
material produced by CVD is amorphous silicon (a-Si:H) lms with a high hydrogen
content. It is used extensively in applications such as transistors, memory switches,
solar energy conversion devices, etc.
Other less common coating microstructures include platelets and dendrites. One of
the advantages of CVD is the ability to control and vary the coating microstructure
by varying the process parameters. Some of the common CVD coating micro-
structures are also obtained by PVD coating processes. PVD is another vapour
deposition process incorporating atomistic deposition characteristics. Therefore, the
well-known classication of PVD coating microstructure [120,121] may well apply
to some of the CVD coating microstructures.
K.L. Choy / Progress in Materials Science 48 (2003) 57170 91
The eect of main process parameters such as substrate temperature, input gas
ratio and deposition pressure on the microstructure of coatings can be illustrated
using a case study on CVD of TiB
2
coatings. The surface morphology of the TiB
2
coating was very sensitive to the substrate temperature as shown in Fig. 9. As the
substrate temperature increased, deposits consisting of randomly oriented ne-
grained polycrystals grew into more dense and coherent dome-like columnar grains
and then grew into larger faceted grains at even higher temperature (e.g. 1250

C).
Increasing the temperature further to 1400

C, the faceted morphology grew into
even larger and more strongly faceted grains with the grain surfaces revealing many
steps [Fig. 8(e)]. The deposition rate was also found to increase from 0.19 to 2.55
mm/min with the increase in substrate temperature (from 1050 to 1450

C).
Fig. 10 shows scanning electron micrographs of the surface morphology of TiB
2
coatings deposited at various pressures. As the pressure increased from 6 to 15 kPa,
the shape of the crystallites became more clearly dened and the surfaces smoother,
with rounded growth features. This is due to the fact that increase in pressure, increases
the concentration of reactants (higher supersaturation). Hence the nucleation rate
Fig. 9. Scanning electron micrograph of the surface morphology of the TiB
2
coatings (same magnica-
tion) deposited at various temperatures: (a) 1050

C; (b) 1100

C; (c) 1150

C; (d) 1250

C; (e) 1400

C.
92 K.L. Choy / Progress in Materials Science 48 (2003) 57170
Fig. 10. Scanning electron micrograph of the surface morphology of the TiB
2
coatings (same magnica-
tion) deposited at various pressures: (a) 6 kPa; (b) 15 kPa; (c) 23 kPa.
K.L. Choy / Progress in Materials Science 48 (2003) 57170 93
increases. As a result, ner and smoother polycrystals were deposited at high pressure
within the range investigated. Increasing the pressure further to 23 kPa, produces
even smaller and ner grains. However, due to a higher nucleation rate at increasing
pressure, these grains deposited on top of each other forming clusters of grains as seen
in Fig. 9 (c). The deposition rate was also found to increase from 0.10 to 0.84 mm/min
as the pressure increased within the pressure range (623 kPa) studied.
As the TiCl
4
:BCl
3
input gas ratio increased from 1:3 to 3:1, smaller grains were
deposited (Fig. 11), and the deposition rate also decreased from 0.55 to 0.12 mm/
min. This may be due to the fact that an increase in TiCl
4
concentration, increases
the nucleation rate. Although the nucleation rate is high at high concentration of
TiCl
4
, the growth rate is low, resulting in a low deposition rate. The actual reason
for this slow growth rate is not yet know but it might be due to the etching eect of
TiCl
4
, which became more apparent at high concentration.
12. Variants of CVD methods
The conventional CVD method, so called thermal activated CVD (TACVD), uses
thermal energy to activate the chemical reactions. However, the CVD reactions can
also be initiated using dierent energy sources. This has given rise to other variants
of CVD methods such as plasma enhanced CVD (PECVD) and photo-assisted CVD
(PACVD) which use plasma and light, respectively, to activate the chemical reac-
tions. Atomic Layer Epitaxy (ALE) is a special mode of CVD where a monatomic
layer can be grown in sequence by sequential saturating surface reactions. Such
CVD variants are useful for the controlled growth of epitaxial lms, and the fabri-
cation of tailored molecular structures. Another variants of CVD include metalor-
ganic CVD (MOCVD) which uses metalorganic as the precursor rather than the
inorganic precursor used in the conventional CVD method. Other CVD variants
such as pulsed injection MOCVD and aerosol assisted CVD use special precursor
generation and delivery systems unlike conventional CVD. Flame assisted vapour
deposition (FAVD) uses a ame source to initiate the chemical reaction and/or
heating the substrate. Electrochemical vapour deposition (EVD) is another variant
of CVD that is tailored for the deposition of dense lms onto porous substrates.
Chemical vapour inltration (CVI) is a form a CVD that has been adapted for the
deposition of a dense ceramic matrix during the fabrication of ceramic bre re-
inforced ceramic matrix composites. All these variants are described and discussed
in detail in the following sub-sections.
12.1. Thermally activated chemical vapour deposition
Thermally activated CVD is a conventional CVD process in which the chemical
reactions are initiated by thermal energy in a hot wall or cold wall reactor using
inorganic chemical precursors. The thermal energy can be in the form of rf heating,
infrared radiation or resistive heating. The heating or cooling are usually performed
at the normal rate. If the substrate is heated or cooled very rapidly such that the
94 K.L. Choy / Progress in Materials Science 48 (2003) 57170
chemical reaction is initiated and terminated by switching and controlling the gas
ow, this gives rise to a rapid thermal CVD process (RTCVD).
The thermally activated CVD processes can also be subdivided further according
to the pressure range in which the deposition occurs. They include atmospheric
pressure CVD (APCVD), low pressure CVD (LPCVD) or ultrahigh vacuum CVD
Fig. 11. Scanning electron micrograph of the surface morphology of the TiB
2
coatings deposited at dif-
ferent TiCl
4
:BCl
3
ratios: (a) 1:3; (b) 1:1; (c) 3:1.
K.L. Choy / Progress in Materials Science 48 (2003) 57170 95
(UHVCVD) depending on the processing pressure: (a) atmospheric pressure, (b) low
pressure (0.011.33 kPa) or (c) ultrahigh vacuum (less than 10
4
kPa) environment,
respectively. The chemical reactions in the above cases are basically the same. As
discussed earlier (Section 9), the only dierence between APCVD, and LPCVD/
UHVCVD is that the reduced pressure alters the rate limiting step during the
deposition. The mass transfer rate of the gaseous reactants becomes higher than the
surface reaction rate during the LPCVD process. In APCVD, the rate of mass
transport of reactants and by-products through the boundary layer is slower than
the rate of surface reaction. Therefore, the reaction is mass transport/diusion lim-
ited. UHVCVD ( -10
4
kPa) has been developed by Meyerson [122] for the epitaxial
growth of semiconducting materials such as Si and SiGe alloys.
Thermally activated CVD has wide applications ranging from the relatively low
temperature deposition process for thin lm applications to high temperature pro-
cesses for coating applications. The following sections describe the applications of
CVD in terms of their technological and industrial importance and market demand.
Initially, this section will focus on functional thin lms for electrical and electronics
industries. This will be followed by examples of protective coating applications, and,
nally, structural applications (e.g. ceramic bres and ceramic matrix composites).
12.1.1. Semiconductors, dielectric and metallic lms for microelectronics,
optoelectronics or energy conversion devices
Since the 1970s the CVD thin lm technology has made remarkable advancements
for microelectronic and optoelectronic applications, in terms of a better understanding
of the kinetics and growth process, equipment development (e.g. automation, control
of gas purity, safety handling), in order to obtain high quality and reproducible thin
lms.
12.1.1.1. Polycrystalline Si. Polycrystalline Si, or poly-Si thin lms, are widely used
in integrated circuits as gate electrodes, emitters in bipolar transistors, load resistors
and interconnection connectors. SiH
4
is widely used as precursor, where it under-
goes a pyrolysis reaction at reduced pressure (typically 133 Pa) in the present of
H
2
, He or N
2
to deposit poly-Si at reduced pressure and temperatures between 610
and 630

C. At a lower deposition temperature (550

C), amorphous Si will be
deposited. The deposition mechanism and kinetics of poly-Si deposition using SiH
4
have been widely studied for the semiconductor industry [56,123125]. The standard
LPCVD processing conditions operate in the surface kinetics limited regime. How-
ever, at higher pressures (e.g. >130 Pa), the deposition processes are mass transport
limited, and at higher deposition temperatures (>700

C), gas phase nucleation can
occur and lead to non uniformity of the deposited lms [123]. The poly-silicon lms
are usually doped with dopants such as phosphorus (to increase the moisture resis-
tance and reduced the internal stress of the lms) or boron (to decrease etching rate
and increase step coverage) using phosphine or diborane precursors, respectively in
order to provide the required semiconducting properties.
The LPCVD batch process was rst introduced commercially in the 1970s. It is
still the primary deposition technique, consisting of hot-wall horizontal reactors
96 K.L. Choy / Progress in Materials Science 48 (2003) 57170
[126]. In-situ doping of phosphorus was normally not carried out because the pre-
sence of phosphorus reduces the deposition rate and leads to non-uniform lm
thickness. The doping is performed using ion implantation of the undoped layers
and followed by thermal annealing.
There are emergent technologies such as single-wafer reactors and cluster tools
that challenge the conventional LPCVD systems as production methods [126,127].
The single-wafer reactors using SiH
4
precursor allow poly-silicon to be deposited at
high growth rates of 2000 A

/min at temperatures (600635



C) near to those of
conventional polysilicon deposition with a thickness uniformity better than 1%
[126]. The in-situ doping of phosphorus in this case is possible in the range 10
19
10
21
cm
3
. However, an additional in-situ hydrogen pre-baking is needed to eliminate the
native oxide. Cluster tools with LPCVD hot-wall reactors are used for the deposi-
tion of poly-silicon integrated with wafer cleaning (vapour HF) and oxidation tubes
[127]. The poly-Si in this case is typically deposited from pure SiH
4
at 620

C and 53
Pa once the oxide is removed through in-situ etching.
12.1.1.2. Epitaxial Si films. Epitaxial Si lms are used in bipolar integrated circuits
and have increasing applications in metal oxide silicon (MOS) and dynamic random
access memory devices. The growth of epitaxial Si lms is one of the most challen-
ging areas of silicon processing. During the epitaxial growth, the deposited atomic
layer should be commensurate with the substrate and defect free. 110 defects/cm
2
is a
typical requirement for bipolar technology [128]. The deposition of epitaxial Si lms
onto same substrate material (i.e. silicon wafers) is known as homoepitaxial. The
growth of epitaxial Si lms on other single crystal substrates such as sapphire and
germanium is known as heteroepitaxial. These lms can be deposited using SiH
4
,
SiCl
4
and SiCl
2
H
2
by undergoing decomposition (i.e. pyrolysis), reduction or dis-
proportionation (see Section 7). The reduction of SiCl
4
in hydrogen has been widely
used for the homoepitxial growth of Si lms. It is also used when the shift of pattern is
a major concern [129]. SiCl
2
H
2
can also be used for the homoepitaxy of Si. It also
allows selective growth of Si at low temperatures (600

C) [130]. The kinetics and
growth rate of epitaxial silicon using chlorosilanes were found to depend linearly on
partial pressure of SiCl
2
H
2
at high temperatures but sub-linear at low temperatures
[129,131,132]. SiH
4
is used for the low temperature deposition of epitaxial Si lms in
order to prevent diusion from the substrate. In general the deposition of epitaxial
Si lms using SiH
4
is not satisfactory and this precursor is less commonly used.
Therefore, growth kinetic studies using this precursor is less well investigated. Epi-
taxial Si lms are also normally doped with phosphorus, boron or arsenic similar to
the poly-silicon lms in order to provide the required semiconducting properties.
For the economical deposition of thick epitaxial lms, cold wall APCVD batch
barrel reactors operated at high temperatures (e.g. 9001200

C) are normally used.
Under such processing conditions, the growth is mass transport limited [129]. The
epitaxial deposition temperature can be reduced by lowering the deposition pressure.
The deposition temperature can be lowered to about 550

C using UHVCVD [133].
Such development has been prompted by the requirement to produce highly doped
multilayers with abrupt interfaces for high speed devices.
K.L. Choy / Progress in Materials Science 48 (2003) 57170 97
In addition, deposition at low pressure also helps to lower the incorporation of
impurities into the lms. Signicant reduction of dopant (e.g. arsenic) transition
width has also been observed by reducing the deposition pressure to about 1.3 kPa.
This may be due to the enhanced gas phase diusion of arsenic evolved from the
substrate surface away from the growth surface rather than to be incorporated into
the lms [134]. The growth of Si free from oxide requires the control of residual
water. This can be achieved using either ultra clean APCVD with a gas purifying
system controlled at the level of several part per billion or LPCVD/UHVCVD.
Despite the use of a more sophisticated and expensive reactor and vacuum system,
the LPCVD is gradually replacing APCVD because it can produce high quality
epitaxial lms at lower deposition temperatures and with less auto-doping and pattern
shift.
12.1.1.3. Dielectrics (e.g. SiO, Si
3
N
4
, AlN). SiO
2
is a dielectric material for the
microelectronic industry. Currently, SiO
2
lms are mostly deposited using the
LPCVD process from the input precursor SiH
4
:O
2
at a ratio of 1:3 at relatively low
temperatures (about 400

C) [135,136]. This is especially suitable for the application
of a SiO
2
passivation lm on Al. This is an economical process and it can overcome the
tendency for homogeneous gas phase nucleation in APCVD and the subsequent
incorporation of particles into the lm which results in non uniformity of the lm.
Moreover, the LPCVD process allows many wafer substrates stacked vertically close
together to maximise the deposition capacity while maintaining uniform coating qual-
ity. When SiO
2
is used as a nal passivating layer over the completed integrated circuit,
it is normally doped with PH
3
to minimise the intrinsic tensile stress in the lm [135].
Si
3
N
4
, has good electrical resistance and high dielectric strength. It is a suitable
material for a passivating layer [136] and storage capacitor in Dynamic Random
Access Memory (DRAM) and Erasable Programmable Read-Only Memory
(EPROM) memory chips [137] in the microelectronic industry. The Si source can be
SiH
4
, SiCl
4
or SiCl
2
H
2
, the nitride sources are often NH
3
or N
2
+ H
2
. The common
precursor for the CVD of Si
3
N
4
for semiconductor industry application is SiCl
2
H
2
+
NH
3
and the deposition is operated at a temperature between 750 and 900

C and a
low pressure of 29113 Pa [136,138].
AlN is another dielectric lm used in the microelectronic industry. This lm can be
deposited using a mixture of Al
2
Cl
6
/NH
3
/N
2
at 10001100

C [139].
12.1.1.4. Metallic films. Metallic lms such as W, Mo, Pt Al, Cu also play important
roles as contacts and gate metallisation in the fabrication of microelectronics devi-
ces, opto-electronics and optical devices, in addition to the corrosion protection.
Conventional CVD involves rather high deposition temperatures. Therefore, most
of the metallic lms such as Al, Cu and Ni for functional applications have been
deposited using electroplating or sputtering due to the low processing temperatures.
The use of MOCVD (see Section 12.5) and plasma assisted CVD (see Section 12.2)
has allowed these lms to be deposited at low temperatures. MOCVD of Al, Pt and
Rh have become increasingly popular for providing functional thin lms with better
conformal coverage than those provided by sputtering or electroplating.
98 K.L. Choy / Progress in Materials Science 48 (2003) 57170
Thermally activated CVD is also used to deposit refractory metals such as W and
Mo. For example, Mo lms for integrated circuit (IC) contact and gate metallisation
is normally deposited using thermally activated CVD via the decomposition of metal
carbonyl [i.e. Mo(CO)
6
Mo + 6CO] at temperatures above 450

C in order to
prevent the incorporation of oxygen and carbon into the lms [140,141]. Molyb-
denum is also used as coatings for solar converters with high power laser mirrors.
CVD can produce high purity, ductile W lms which are dicult to achieve using
conventional metallurgical processes. Tungsten lms can be deposited using the
reduction of tungsten halide in hydrogen at reduced pressure. WF
6
has a lower
deposition temperature (550

C) than WCl
6
(1000

C), and it is used widely as the
precursor for the deposition of W lms for metallisation of ICs to replace Al lms.
The kinetics of CVD of W has been investigated using the hydrogen reduction of
WF
6
over the temperature range 500870

C and pressure (0.88 kPa) [142]. The
overall chemical reaction is
WF
6
3H
2
W6HF
The results showed that at lower temperature-pressure conditions, HF desorption
from the substrate is the rate controlling step in the process, while at higher pressures
and temperatures, WF
6
gas-phase diusion is the rate-limiting mechanism.
WCl
6
has been used to deposit highly pure W coatings for X-ray cathodes. The
use of tungsten carbonyl allows the deposition of W lms at lower temperatures (e.g.
300600

C) and reduced pressure (-2.7 kPa). Such low temperature deposition is
potentially useful for applications in microelectronics.
12.1.1.5. Other functional thin films. Examples of other functional thin lms for
microelectronics and optoelectronics applications are summarised in Table 5.
Table 5
Other examples of CVD of functional thin lms
Functional lms Applications Precursor system Process conditions Ref.
Amorphous Si Solar photovoltaic
devices
SiH
4
LPCVD, 1 Torr, 560

C [143]
Epitaxial SiGe
a
High speed
electronic devices and
optolelectronic
applications
SiH
4
+ GH
4
a
APCVD, 9001000

C
LPCVD, 0.1 Torr, 610750

C
RTCVD, 2 Torr 525840

C
UHCVD, 210
3
Torr, 650

C
[144]
[145]
[146]
[147]
Epitaxial GaAsP Light emitting diode AsH
3
+PH
3
+Ga/HCl +H
2
APCVD, 630

C [148]
Poly-WSi
2
Silicide gates for
microelectronics
WF
6
+ SiH
4
WCl
6
+ SiH
4
LPCVD, 0.050.3 Torr, 400

C [149]
a
Epitaxial SiGe lms have also been deposited using halides and chlorosilanes in APCVD, LPCVD
and RTCVD reactors as reviewed by [150].
K.L. Choy / Progress in Materials Science 48 (2003) 57170 99
12.1.2. Ceramic protective coatings
Another application of CVD is to deposit thick ceramic coatings (e.g. SiC, TiC
B
4
C, TiN, BN, Si
3
N
4
, TiB
2
, MoSi and Al
2
O
3
) to protect engineering components
against chemical diusion, wear, friction, oxidation and corrosion. The cost of CVD
for the protective coatings market is generally lower than the functional thin lms
for semiconductor industry and it involves coating a large volume of engineering
components.
There are other competing deposition methods for protective coatings such as
physical vapour deposition and plasma spraying that are also available for this
application. However, plasma spraying tends to produce a splat-like structure with a
high degree of porosity and microcracks and surface roughness. A thicker coating is
required to provide adequate protection against wear and corrosion, and extensive
grinding and polishing post deposition is required to obtain a smoother surface.
Moreover the splat-like structure is mechanically not as robust as the equiaxed/
columnar structure deposited using the CVD and PVD techniques. The PVD
method is a line-of-sight process. Therefore, the use of multiple targets and a rotating
substrate is often required during the PVD process in order to improve coating
uniformity on complex shape components. CVD does not have such limitations.
The CVD of ceramic coatings usually involves high temperature depositions in
order to ensure good adhesion of the thick coating to the substrate as compared to
lower deposition temperatures in the CVD of thin lms. Such good coating adhesion
is essential for thermal, chemical (corrosion, diusion resistance) and/or mechanical
(e.g. wear, abrasion resistance) properties. Therefore, this requirement limits the
utilisation of CVD for applications where the substrate or engineering components
are susceptible to high temperatures. For example, the deposition of thick, hard and
wear resistant coatings onto high speed cutting tool steels using thermally activated
CVD is less suitable because tool steel has a low austenising temperature (450
550

C). The CVD deposition of protective coatings such as TiC and TiN would
require high temperatures ( >800

C), which is above the tempering temperature of
most steels. This leads to softening of the steel substrate and subsequent heat treat-
ment is required for re-hardening which may cause deformation, change of substrate
dimensions, and increases the cost of production. Although, other variants of CVD
techniques such as plasma enhanced CVD and metalorganic CVD (see Sections 12.2
and 12.5, respectively) can lower the deposition temperatures and produce high
quality ceramic protective coatings without degrading the tool steel substrates, their
cost of production is still relatively high compare with other coating techniques.
Therefore, low deposition process (-450

C) such as the PVD techniques (e.g.
sputtering) are still the preferred and dominant coatings techniques for ceramic
protective coatings in the tool steel market.
However, high temperature CVD deposition of coatings can still nd applications
where the substrates are refractory materials that can be exposed to high temperatures
in the following key application areas:
12.1.2.1. Cemented carbide tool industry. Cemented carbide is mostly made from
cobalt bonded tungsten carbide which has high temperature strength and can withstand
100 K.L. Choy / Progress in Materials Science 48 (2003) 57170
relatively high deposition temperatures using the thermally activated CVD process.
The commercialisation of CVD of TiC on cemented carbide tools was introduced in
the early 60s, and its application has continuously increased since then. It has been
estimated that over 90% of the cemented carbide tools will be coated by 2002. A
range of ceramic coating materials such as TiN, TiC and Al
2
O
3
are suitable to use as
protective coatings for cemented carbide tools against wear, abrasion, corrosion and
oxidation. Nowadays, most of the protective coatings are deposited in the form of
multilayer or compositionally graded coating systems containing a combination of
TiN, TiC, Ti(C, N) and Al
2
O
3
in dierent sequences for improved component life-
time [151]. TiN, TiC and Ti(C,N) and Al
2
O
3
are commonly deposited using the
mixture of precursor gases, TiCl
4
/NH
3
/N
2
, TiCl
4
/CH
4
/H
2
, AlCl
3
/CO
2
/H
2
, respec-
tively. In addition, TiC, TiN, CrC and TiB
2
have also been used in other tribolo-
gical applications such as bearings, valves, nozzles, etc. [152,153].
12.1.2.2. Corrosion resistance applications. Borides such as TiB
2
are generally resis-
tant to molten metals, especially molten aluminium, and are commonly used to
protect crucibles in the evaporation of metals. The TiB
2
coating is usually deposited
using a mixture of TiCl
4
/BCl
3
/H
2.
Although the corrosion resistance of carbide to molten metal is generally poor,
carbides have good resistance to sulphuric acid, sea water and industrial wastes. For
example, chromium carbide is very corrosion resistant and is used widely as a pas-
sivation interlayer. SiC coated molybdenum heating pipes can provide high tem-
perature corrosion protection in an oxidising atmosphere at 8301130

C [154].
Nitrides such as boron nitride is one of the most corrosion resistant coating
materials and is used widely as protective coating materials or CVD of free standing
crucibles for molten metals, ceramic and glass processing [155].
Silicides, especially MoSi
2
, have good high temperature oxidation resistance by
forming thin layer adherent oxides, which protect silicides from further oxidation
upto 1900

C. Therefore, it has been used as high temperature corrosion protection
coatings for engineering components that are exposed to corrosive atmospheres such
as CO
2
, SO
2
and N
2
O. MoSi
2
can be deposited using low pressure CVD from a
mixture of MoCl
5
/SiH
4
at 650950

C or MoF
6
/SiH
4
at 250300

C [156,157].
Oxides such as Al
2
O
3,
SiO
2
have been widely used to provide eective protection
for stainless steel in corrosive environments such as CO
2
up to 1000

C [155,158], as
well as oxidation protection for carbon steel at elevated temperatures.
12.1.2.3. Composite coatings for tribological applications. R&D has been carried out
to deposit composite coatings in-situ by the simultaneous deposition of powder/particle
species during the CVD process. The powder can be injected into the gas phase during
the deposition or formed via homogeneous gas phase reactions. For example, the
inclusion of hard particles in a ductile matrix for tribological applications [159], and
inclusion of chromium and aluminium containing phases in protective coatings which
form protective oxide layers that are useful for protection against high temperature
corrosion [160]. Hirai and Goto [161] have reported a variety of particle reinforced
ceramic matrix coatings for high temperature protection and tribological applications.
K.L. Choy / Progress in Materials Science 48 (2003) 57170 101
12.1.2.4. Nuclear applications. Chemically inert refractory materials with low atomic
number such as TiB
2
, TiC, and B
4
Chave been used to coat fusion devices. For example,
TiB
2
and B
4
C have been reported to coat outer wall in fusion reactor [162,163]. TiC
coatings have been applied on graphite for neutron beam armour [164].
CVD is also used widely to coat nuclear fuel particles such as ssile U-235, U-233
and fertile Th-232 with pyrolytic carbon [21]. The carbon coating of fuel particle is
normally performed in a CVD uidised bed reactor. Fig. 12 shows a typical CVD
uidised bed reactor, which is normally used for depositing coatings onto particles.
Carbon is deposited from the decomposition of a hydrocarbon precursor such as
propylene (C
3
H
6
) at 1350

C. The carbon coated fuel particles are subsequently
consolidated into fuel rods and assembled to form fuel elements. The role of the
carbon coating is to contain the by-product of the ssion reaction and thus minimise
the use of shielding. This also serves as a protective coating against corrosion during
subsequent processing. Hua et al. [165] have explored the use of uidised bed CVD
to deposit nanocrystalline SnO
2
thin lms onto ultrane Al
2
O
3
particles using a
mixture of SnCl
4
H
2
ON
2
precursors. The produced coated particles have potential
applications for conductive ceramics and high temperature electrodes with resistance
to high temperature and corrosion.
12.1.2.5. Biomedical applications. Isotropic carbon is completely biologically inert.
It has low density (2.1 g/cm
3
) and high strain to failure (1.2%) [166]. CVD is the
Fig. 12. A typical CVD uidised bed reactor for depositing coating onto particles.
102 K.L. Choy / Progress in Materials Science 48 (2003) 57170
main deposition process for isotropic carbon for medical implants such as heart
valves, ear prosthesis, dental implants etc. Isotropic carbon is usually deposited
using the pyrolysis of hydrocarbon (e.g. methane) at 12001500

C to deposit car-
bon with a turbostratic structure consisting of randomly oriented small crystallites
with very little ordering.
12.1.3. Diusion coating
Diusion coatings formed through aluminising, for example, are often deposited
on the outer surface and inner cooling channels of turbine blades to produce the
required bond coat layer (nickel aluminised) between the ceramic top coat (e.g.
Y
2
O
3
ZrO
2
) and the Ni-superalloy substrate. This helps to minimise the thermal
expansion mismatch between the top coat and the Ni-alloy substrate, and improves
the adhesion of the top coat as well as providing the required high temperature
oxidation and hot corrosion resistance. Aluminising is carried out using non-line-
of-sight CVD-based processes such as pack cementation and thermally activated
CVD.
12.1.3.1. Pack cementation. Pack cementation is a variation of CVD where the
volatile gaseous reactants are generated within the powder packing as compared to
the usual vapour generation routes in CVD. This process involves packing compo-
nents to be coated in a powder mixture of a pure metal or alloy coating source and
an activator (typically, a halide salt, and an inert ller material such as alumina).
The assembly is subsequently heated to a high temperature (e.g. 9001100

C) where
the activator is decomposed and liberates a halogen gas, which reacts with the metal
element to form a volatile metal halide. The metal halide subsequently undergoes
decomposition at the surface of the engineering components to form the coating.
The process parameters are the concentration of the vapour reactants and reaction
temperature [167,168]. The deposited Al coatings are subsequently heat treated to
form the diusion coatings where the Al content decreases gradually from the outer
surface to the coating/substrate interface. The chemical composition of the gradient
also depends on the substrate composition. This method has been used to coat both
internal and external walls of turbine components. Such processes were rst exploi-
ted commercially by Chromalloy Gas Turbine Corporation to improve the life and
performance of turbine components in the 1950s [167]. The process has been rened
by introducing Pt, Rd and Pd into the coating system by electroplating prior to
aluminising to increase the life of the component further [169,170].
Pack cementation is a simple, inexpensive and reproducible method for coating a
large number of complex shape components uniformly. Therefore, this method has
also been used to provide wear, corrosion and/or oxidation resistant coatings for
engine parts in chemical and petroleum processes, pump shafts, valves, cylinder liner
nuts, bolts, etc. The process can be based on aluminising, chromising (9001100

C),
siliconising (900940

C), as well as boriding (8001000

C) [171].
The limitations of pack cementation method are
+ the easy incorporation of contaminants.
K.L. Choy / Progress in Materials Science 48 (2003) 57170 103
+ large amount of starting metal powder is wasted and the powder is costly to
be disposed.
+ labour intensive with respective to cleaning the coated components after pack
cementation, prior to subsequent heat treatment to form the diusion coating.
+ relatively long coating cycle times.
12.1.3.2. CVD of aluminising. Unlike the pack cementation process, the thermally
activated CVD (TACVD) generates vapour reactants away from the components
and the use of hydrogen gas to remove any impurity and contaminants. Moreover,
TACVD has a relatively shorter processing time and does not require cleaning of the
Al coated components after deposition, prior to subsequent heat treatment, and
removal of aluminium waste powder. Therefore, the cost saving and high purity of
the TACVD coated components have prompted the aerospace industry to adopt the
TACVD for aluminising turbine components.
During the TACVD process, a mixture of AlCl
3
and H
2
reactants is reacted at
about 900

C, to deposit the aluminium coating onto the internal and external parts
of turbine components. AlCl
3
vapour can be formed from owing hydrogen chloride
gas over Al powder or vaporising the liquid AlCl
3
precursor. Heat treatment is
subsequently performed at a high temperature (about 10001100

C) eecting the
diusion and formation of nickel aluminide coatings. CVD of Al is also performed
on Ni-alloys that have been pre-electrolytically coated with about a 10 mm thick Pt
layer. The subsequent heat treatment will form platinum aluminides to improve the
high temperature oxidation and corrosion of Ni-based alloys.
12.1.4. Optical bres
There are two commercial fabrication methods for optical bres, namely CVD
and direct melting. The direct melting is a simple and low cost process for the fab-
rication of thick optical bres (up to 420 mm in diameter). However, this process
tends to incorporate impurities into the bres during processing leading to high
attenuation (e.g. 15 dB/km). These bers are limited to short distance multimode
applications. Whereas CVD is capable of producing high quality optical bres with
low attenuation (-0.5 dB/km) which are suitable for both mono- and multimode
long distance applications [21]. CVD is an established method for the production of
high volume optical bres at low cost. A brief review of the use CVD to produce
optical bres is given in Refs. [21,172,173].
During the TACVD of optical bres, a mixture of high purity precursors (typically
SiCl
4
/GeCl
4
/POCl
3
/O
2
/CCl
2
F
2
) is delivered into a rotating silica tube which is heated
by a traversing heat source (e.g. oxy-hydrogen burner) as shown in Fig. 13. The SiO
2
particles are formed in the gas phase via homogenous gas phase reaction SiCl
4
+O
2

SiO
2
+ 2Cl
2
) and deposited in the form a porous mass ahead of the burner, initially
onto the wall of the silica tube. The heat of the burner fuses the porous mass into a
sintered glassy layer. The deposition process continues until the core material has been
deposited. The tube is then heated at high temperature (e.g. 1800

C) to form a solid
preform rod which is subsequently heated and drawn to 100 mm bres.
104 K.L. Choy / Progress in Materials Science 48 (2003) 57170
PECVD has also been used to produce optical bres, whereby a traversing
microwave cavity is used to replace the oxy-hydrogen burner in the TACVD pro-
cess. Although it is more expensive and uses a sophisticated reactor and vacuum
system, it can produce compact glass bres directly unlike in the TACVD process. It
also allows more accurate grading of the refractive index of the optical bres [21].
12.1.5. Ceramic monolament bres
CVD is the method used commercially for the fabrication of B and SiC mono-
lament bres. These bres are manufactured by depositing thick ceramic coatings
of B or SiC onto refractory laments such as C or W.
These bres, especially SiC are used as reinforcements in metal matrix composite
in the production of high strength, low density and high creep resistant properties
such as Ti-based metal matrix composites (TiMMCs) for aerospace applications,
e.g. structural materials for aerojet components and compressor blades.
Compared with other deposition methods such as PVD (e.g. sputtering, evapora-
tion and ion implantation), CVD has the ability to produce dense, uniform deposits
with well controlled surface morphology and composition without relying on line-
of-sight between the source material and the substrate. Furthermore, this technique
oers the potential for rapid and continuous processing that would be desirable for
large-scale composites programmes. There are also other simple and low-cost bre
production processes based on the used of sol-gel processing which uses alkoxide or
polymeric precursors [174]. The sol-gel process is limited to the production of oxide
bres and certain non-oxide bres. In general, CVD is used to produced large dia-
meter bres with high strength and tensile modulus.
Boron monolament bres are produced using CVD of BCl
3
in H
2
at about
1300

C. The deposition rig use to produce the bre is similar to that used for SiC
bre production in Fig. 14. The common monolament bres used nowadays as
reinforcements in MMCs are based on SiC because they are relatively cheaper (BCl
3
is an expensive precursor for the fabrication of B bres) and thermochemically more
stable than B bres. There are two major manufacturers of monolament SiC
monolament bres: DERA Sigma, UK (produces Sigma SiC with W-core) and
Fig. 13. A schematic diagram of the CVD apparatus used for the fabrication of optical bre (adopted
from [172]).
K.L. Choy / Progress in Materials Science 48 (2003) 57170 105
Textron, USA (produces SCS family of SiC bres with C-core). These bres are
normally produced using a CVD cold wall reactor conguration, as shown in Fig. 14
During the production, a tungsten wire or carbon lament substrate is drawn con-
tinuously through a reactor via mercury seals at each end at a high speed (e.g. about
13 m min
1
) through a relatively long reactor (e.g. 4 m). The mercury seals
(usually amalgam) act as gas seals, as well as the contact electrodes to heat up the
lament resistively. After having passed through the cleaning chamber to remove
oxide and contaminants/residuals from the lament surface, the substrate enters the
deposition chamber, where gaseous silane compounds (e.g. dichloromethylsilane,
CH
3
Cl
2
SiH, methyltrichlorosilane CH
3
SiCl
3
) are introduced, and undergo a pyr-
olysis reaction to deposit b-SiC onto the heated substrate.
The substrate is resistively heated to a deposition temperature of 900

C. The
deposition is performed at atmospheric pressure. Such high deposition temperature
and pressure as well as relatively low ow rate of reactants favour a mass transport
controlled mechanism in order to yield a high deposition rate. The deposition at
Fig. 14. A vertical cold-wall CVD reactor for the fabrication of ceramic monolament bres.
106 K.L. Choy / Progress in Materials Science 48 (2003) 57170
atmospheric pressure also avoids the use of vacuum systems, thus minimising the cost
of fabrication. The substrate, which subsequently forms the bre core has diameter
between 10 and 25 mm, while the overall diameter of the nal lament is 100 mm
(Sigma) or 140 mm (Textron). The mean tensile strengths of SiC bres produced by
DERA Sigma and Textron are 3.6 and 4.2 GPa, respectively. Under certain deposition
conditions, free Si can be co-deposited. But this has to be minimised since it weakens
the bres [175]. Therefore, the control of deposition conditions is critical in producing
stoichiometric SiC. The main process parameters during the fabrication of SiC bres
are temperature of monolament, input gas ratio H
2
/silane, gas ow rate, spooling
speed (i.e. bre residence time). The temperature of the lament can be controlled by
varying the applied voltage to the mercury electrode and composition of the precursors.
During the SiC deposition, the bre diameter and surface roughness can be monitored
using a photo-optical diameter sensor. The bre fabrication process has been subjected
to signicant advanced development, many details of which remain proprietary.
12.1.6. Fibre coating
12.1.6.1. Ceramic monofilament fibres. Severe interdiusion and chemical reactions
between the SiC monolament bres and Ti-alloy matrices occur at elevated tem-
peratures (> 700

C) during composite fabrication and/or in service, leading to the
formation of a brittle reaction layer and deterioration of the mechanical properties
of the composites [176,177]. Therefore, protective coatings are needed to minimise
the SiC/Ti interfacial reactions.
Textron oers C-coated SiC bres to minimise the SiC/Ti interfacial reactions at
elevated temperatures. Sigma UK also introduces C (1140+) and C/TiB
2
(1240+)
coated SiC bres to minimise the interfacial reactions as well to subject the coated
bres to compressive stress wading and improve the handling capability of the bre
and thus preserving the strength of the bres. All these commercial protective coat-
ings onto SiC monolaments have been deposited using CVD rather than any other
coating technique for the similar reasons as those discussed for bre production as
described earlier. However, both the coating systems used are incapable of prevent-
ing the deleterious SiC/Ti interfacial reactions at elevated temperatures [178,179]. In
the case of C coating, the interfacial reaction between the coating and matrix occurs
(Ti+CTiC) which consumes the coating. Moreover, the relatively uncontrolled
formation of TiC may result in cracking and weakening of the Ti-MMCs. If the C
coating is fully consumed at elevate temperatures, Si from SiC will diuse into TiC
to form TiSiC compounds. In addition, the thin C coating also presents problems
when incorporated into other MMCs such as Al-MMCs. For example, wetting does
not occur readily and molten aluminium reacts with the C layer, reducing the tensile
strength of the individual laments [180]. Boron rich phases produced at the outer
TiB
2
coating of the C/TiB
2
(1240+) leads to the formation of needle-like TiB with
the matrix (B+Ti TiB). Such needle-like formation was not observed in stoi-
chiometric TiB
2
coated SiC bres and the coating has successfully inhibited the
brematrix interfacial reaction upto 1100

C. The stoichiometric TiB
2
coatings can
be achieved by carefully controlling the input gas ratio of TiCl
4
:BCl
3
during the
CVD process [181].
K.L. Choy / Progress in Materials Science 48 (2003) 57170 107
R&D has been carried out to investigate various types of coating systems that might
be able to protect SiC bre in titanium matrices. For example, Al
2
O
3
, VB
x
, TaB
x
[182],
Si, TiSi
2
, Y
2
O
3
, ZrO
2
and HfO
2
[183] duplex Y/Y
2
O
3
layer [184], TiB
2
, TiC and TiN
[185,186]. Among these protective coating systems, only TiB
2
and TiC coatings have
successfully inhibited the SiC/Ti interfacial reactions [187,188]. Fig. 15 shows a typical
cross-section of the TiB
2
coated SiC bre deposited using a gaseous mixture of TiCl
4
,
BCl
3
and H
2
at 1150

C. However, stoichiometric TiB
2
and TiC coated bres can only
retain 85 and 48%, respectively, of the strength of the virgin SiC bres [189]. Moreover
there is some Si diusion from SiC bres into the TiC coatings [188].
The use of compositionally graded coatings system such as C/TiC/Ti on SiC bres
seems to be able to (i) solve the interfacial problems encountered in SiC/Ti, as well
as (ii) conserve the strength of the as-received SiC bre and (iii) provide eective
protection for SiC bres in Ti matrices against deleterious interfacial reactions at
high temperatures up to 1100

C [190]. The compositionally graded coating systems
of C/TiC/Ti are also able to provide eective protection for SiC monolaments in
the molten Al-matrix during composite fabrication [191]. Other multilayer or com-
positionally graded coating systems with a compatible carbide interlayer and outer
carbon layer are potentially suitable coating systems to improve the handleability of
bre, prevent chemical and mechanical damage of the bres during processing or in-
service, enhance bre debonding and slip in the MMCs, and hence the toughness
and strength of the composite materials. During R&D these various coating systems
studied have been deposited using CVD or PVD. However, the suitable coating
Fig. 15. A typical cross-section of the TiB
2
coated SiC monolament bre deposited using a cold-wall
CVD reactor.
108 K.L. Choy / Progress in Materials Science 48 (2003) 57170
systems will ultimately have to be deposited using the CVD method onto SiC
monolament bres which is the most versatile and commercially viable method for
bre coating, especially for non-oxide protective coatings.
12.1.6.2. Carboncarbon composites. Carboncarbon composites fabricated using
chemical vapour inltration (see Section 12.10) have a relatively low oxidation
threshold of about 370

C. Therefore, they require protective coatings against high
temperature oxidation. The oxidation resistance coating system can consist of
+ an intermediate CVD SiC layer to prevent carbon diusion, followed by an
outer layer of CVD of Si and B containing glass formers to seal any cracks in
SiC and act as an oxygen barrier [192], or
+ an intermediate CVD pyrolytic carbon to increase the mechanical compat-
ibility of the subsequent CVD SiC layer with the carboncarbon composites,
followed by an outer CVD Al
2
O
3
layer which acts as an oxygen barrier [193].
The cracking of the SiC coating due to thermal cycling can be overcome by
introducing an interlayer of CVD of TiC between the carboncarbon (CC) com-
posite and SiC coating [194,195]. A signicant improvement in the oxidation resis-
tance has been observed and the number of cracks was signicantly reduced in SiC,
as compared to those without a TiC interlayer. The direct deposition of SiC onto C
C composites generates a large tensile stress in the SiC coating due to the large
thermal expansion mismatch between the SiC (4.610
6
K
1
) and CC composite
(0.30.510
6
K
1
). Whereas, the small dierence in the coecient of thermal
expansion of the presence of a TiC interlayer (7.610
6
K
1
) generates a compres-
sive stress in the SiC coating thus preventing thermal cracking. The oxidation resis-
tance seems to increase with the increase in the TiC/SiC ratio. This may be
attributed to the increase in compressive stress with the increase of the TiC/SiC ratio
which inhibits the cracking propagation [196].
The use of compositionally (also known as functionally) graded coatings of SiC/C
have shown not only able to provide oxidation resistance but also to improve the
thermal barrier and thermal shock resistance of the coated components. Composi-
tionally graded SiC/C fabricated from a mixture of SiCl
4
C
3
H
8
H
2
precursors by
varying the Si/(Si+C) input gas ratio (e.g. 0.66, 0.62, 0.58, 0.52, 0.23, 0) stepwise
where the ow rate of carrier gas H
2
for SiCl
4
was controlled while that of C
3
H
8
was
kept constant. The stepwise change in the ratio of reactant mixture enables the
deposition of SiC/C coating with a continuous graded composition, consisting of
SiC, SiCC, CSi and C phase. The deposition temperature was maintained at
1500

C and at a total gas pressure of 6.7 kPa [197,198]. The thermal barrier char-
acteristics of compositionally graded SiC/C and non graded SiC coated samples
were evaluated and compared. The non-graded samples showed cracking generated
by thermal fatigue after 40 repeated heating cycles placing the top surface at 877
1427

C, and the bottom surface at 627927

C in vacuum. No cracking was
observed in the graded SiC/C coated samples [199]. The thermal shock resistance
was assessed using a 5 kW CO
2
laser [200]. The laser power density value where cracks
K.L. Choy / Progress in Materials Science 48 (2003) 57170 109
started to show on non-graded samples was at 5.8 MW m
2
while for graded sample it
was at a higher value of 7.4 MW m
2
. Such graded SiC/C could also be introduced into
the protective coating system for CC composites in order to improve the oxidation
resistance and the thermo-mechanical properties of the composites.
12.2. Plasma enhanced chemical vapour deposition
12.2.1. Process principles
Plasma Enhanced Chemical Vapour Deposition (PECVD) is also known as glow
discharge chemical vapour deposition. It uses electron energy (plasma) as the acti-
vation method to enable deposition to occur at a low temperature and at a reason-
able rate. Supplying electrical power at a suciently high voltage to a gas at reduced
pressures (-1.3 kPa), results in the breaking down of the gas and generates a glow
discharge plasma consisting of electrons, ions and electronically excited species. The
vapour reactants are ionised and dissociated by electron impact, and hence gen-
erating chemically active ions and radicals that undergo the heterogeneous chemical
reaction at or near the heated substrate surface and deposit the thin lm. The tem-
perature of the electron can be on the order of 20,000 K or higher, while the tem-
perature of the vapour reactants may remain near room temperature, depending on
the pressure at which the discharge is operated.
PECVD can be operated using either direct or remote modes. The direct PECVD
reactors such as rf diode, microwave and inductively coupled plasma involve gas-
eous precursors, inert carrier gas and substrates being placed directly in the plasma
source region. However, the remote PECVD methods generate plasma away from
the deposition zone. This can avoid damaging the lms caused by energetic ions and
electrons in the plasma. There are three main geometries of PECVD reactors: par-
allel-plate discharge, tube with capacitive coupling and tube with inductive coupling.
The physics and chemistry of plasma and its formation in relation to the type of
reactor have been described and reviewed in references [25,201]. There are also other
emergent PECVD reactors that try to overcome the limitation of the existing com-
mercial PECVD reactors. For examples, those based on the electron cyclotron
resonance CVD reactors that make use of the electron cyclotron resonance phe-
nomena, which can create a large ux of low energy ions and enable deposition to
occur at reasonable rates to minimise the gas phase nucleation of particles. This type
of reactor is at the R&D stage and yet to be developed commercially. Scheib et al.
[202] has employed Electron Cyclotron Wave Resonance (ECWR) for plasma exci-
tation during the deposition of hydrogenated nanocrystalline silicon (nc-Si:H) lms.
These lms can be produced at high deposition rates up to 6.5 A

/s with pure SiH


4
,
as the process gas in contrast to the conventional glow-discharge technique where
the hydrogen dilution is needed for the formation of the crystalline phase. This leads
to considerably low deposition rates.
Dehbi-Alaoui and Matthews [203] have used lament-enhanced plasma assisted
CVD. The aims are to (i) increase the degree of ionisation, (ii) reduce the voltage
applied to the cathode, and (iii) reduce the C/H ratio in the plasma product in order
to deposit improved DLC lms with a higher optical band gap and a lower hydrogen
110 K.L. Choy / Progress in Materials Science 48 (2003) 57170
content. The degree of ionisation has been increased further using an improved dis-
charge layout which can provide specimen (cathode) current densities several orders
of magnitude higher, across a wider pressure range. This produces lms with a
hardness of over 8000 HK at a deposition rate of 23 mm/h on stainless steel and
mild steel, in a methane/hydrogen plasma containing 0.51.0% methane [203].
The chemical reactions that occur during the glow discharges are complex and can
be categorized into homogeneous gas phase collisions and heterogeneous surface
interactions. Bell [204] has studied the ways to generate reactive free radicals and
ions through the homogeneous gas phase collisions of electrons with vapour reac-
tants and proposed generic examples of electron impact reactions and the rate of
reactions. Inelastic collisions between heavy particles during the homogeneous
impact reaction have also been considered [201,204,205].
Catherine [206] has considered the overall complexity of the plasma process and
the growth kinetics during the PECVD of lms. This includes the inuence of
parameters to generate the plasma and the characteristics of such a plasma (e.g.
electron ion densities and uxes, residence time, etc.), which inuence the ion energy
and substrate temperature.
Unlike thermally activated CVD, there is limited available fundamental informa-
tion about the PECVD process. Hence, it is dicult to establish the relationship
between the processing parameters and properties of the lms because of the com-
plexity of the PECVD reactions. Therefore, PECVD is limited to low temperature
applications where it can not be met by thermally activated CVD.
12.2.2. Disadvantages and advantages of PECVD
12.2.2.1. Disadvantages. The other drawback of PECVD is that it requires the use of
a vacuum system to generate the plasma, and a more sophisticated reactor to con-
tain the plasma. Therefore, PECVD is often more expensive than the thermally
activated APCVD system. However, PECVD can nd applications where technol-
ogy will outweigh the cost of fabrication and also where low deposition tempera-
tures are required on temperature sensitive substrates, which can not be met by the
conventional CVD such as the examples mentioned above.
In general, PECVD has diculty in depositing high purity lms. This is mostly
due to the incomplete desorption of by-product and unreacted precursor at low
temperatures, especially hydrogen which remains incorporated into the lms. Such
impurities in some cases, however, is benecial. For example, the incorporation of
hydrogen in amorphous silicon lms provides improved optoelectronic properties
and it is advantageous for solar cell applications. Whereas, in other cases, such as
refractory ceramics of carbides, nitrides, oxides and silicides, the presence of impu-
rities aect the stoichiometry of the deposited lms and hence the physical, chemi-
cal, mechanical and electrical properties of the lms.
The use of high ion energy plasma, exceeding 20 eV could damage some fragile
substrates (e.g. some IIIV and IIVI semiconductors) by strong ion bombardment
and needs to be avoided. PECVD at low frequencies is also prone to induce unde-
sirable compressive stresses in the lms [11]. This is especially damaging in thick lm
for tribological applications, which could lead to cracking or spalling of the lms.
K.L. Choy / Progress in Materials Science 48 (2003) 57170 111
12.2.2.2. Advantages. The main advantage of PECVD over other CVD methods is
that the deposition can occur at relatively low temperatures on large areas. It also
oers the exibility for the microstructure of the lm and deposition be controlled
separately. The ion bombardment can be substituted for deposition temperature to
obtain the required lm density. Such low temperature deposition is important for
applications that involve the use of temperature sensitive substrates. For example, a
temperature between 800 and 900

C is required to deposit Si
3
N
4
using the thermally
activated CVD process. Such high temperature prevents the use of thermally acti-
vated CVD to deposit Si
3
N
4
on integrated circuits as a nal passivation layer
because the nal metallisation is Al (m.p. 660

C). Whereas, PECVD can deposit
high quality Si
3
N
4
lms at about 350

C using a mixture of SiH
4
/NH
3
precursors.
Smith et al. [207] have proposed a deposition mechanism through the formation of
active intermediate species of aminosilanes [Si(NH
2
)
3
] which are absorbed onto a
growing surface and undergo subsequent condensation reactions to deposit Si
3
N
4
and eliminate hydrogen. The proposed model is consistent with the in-situ studies of
Si
3
N
4
deposition using X-ray photoelectron spectroscopy [208]. PECVD has the
tendency to introduce a signicant amount of hydrogen (above 10
21
cm
3
) into the
lms [209] which leads to the deposition of SiN
x
H
y
lms. The amount of hydrogen
present in the lm will inuence the properties of lms such as optical absorption
edge, refractive index, electrical conductivity, etch rate, etc. The incorporation of
hydrogen can be minimised eectively using a mixture of NH
3
/NF
3
as the nitrogen
sources [210,211]. Oxygen is another possible impurity, which is often detected in the
lms, which could increase the rate of etching, and reduce the refractive index [212].
This impurity can originate from small vacuum leakage in the system, water vapour
desorbed from the wall of reactors or exposure to air after deposition.
The deposition temperature of PECVD can be lowered further by the use of
metalorganic precursors (MOPECVD). For example, Rie et al. [213,214] have
explored the use of metalorganic as precursor in the PECVD process to lower the
deposition temperatures from 450550 to about 140

C. This deposition temperature
is considerably lower than the conventional thermal CVD (9001100

C). This has
widened the scope of substrates that can be used. They have deposited TiN, TiC,
TiCN, ZrCN, ZrBCN, BCN single and multilayer systems on steel substrates, hard
metals, cermet substrates and age hardening aluminium alloys to improve the wear
and corrosion resistance. Field tests of dies in aluminiumpressure die casting showthe
substantial improvement reached by the MOPECVD deposited functional coatings.
The commercial plasma reactor was rst developed in 1970s for the deposition of
Si
3
N
4
passivation layers [215] replacing the silicon oxide/metal packaging technol-
ogy and allow the use of plastic packaging. Reviews on the PECVD methods are
available in references such as [216219]. With the availability of high vapour pres-
sure metalorganic precursors at reasonable prices, the commercial applications of
metalorganic assisted PECVD have been extended from semiconducting, dielectric
and metallic lms to new applications including diamond deposition diusion bar-
riers, optical lters, abrasion resistant coatings on polymer, powder coating, bre
coating and biomaterials [216]. Here are further descriptions of some of these
examples.
112 K.L. Choy / Progress in Materials Science 48 (2003) 57170
Fig. 16. Schematic diagrams of (a) microwave plasma; (b) hot lament; (c) plasma arc deposition appa-
ratus for CVD of diamond.
K.L. Choy / Progress in Materials Science 48 (2003) 57170 113
12.2.3. Examples of applications
12.2.3.1. Diamond. The diamond lm is one of the potentially most versatile and
useful materials of great technological interest due to its highest hardness, chemical
inertness, highest thermal conductivity, optical transparency, wide energy band gap,
low dielectric constant and high carrier mobility. Diamond has face-centred cubic
structure which consists of essentially pure carbon with a hydrogen content less than
1%. Each carbon atom is tetrahedrally coordinated to four other carbon atoms
through sigma bonds formed from the sp
3
orbital hybridization. It can be identied
by Raman spectroscopy, with the characteristic peak at 1332 cm
1
.
There are various potential applications of diamond lms. For example, speaker
diaphragms have been coated with thin lms of diamond to provide improved
acoustic properties. Diamond lms also have potential applications as heat sinks for
microelectroncis and opto-electronics, sensors, microwave devices, coatings for IR
windows, in nuclear detectors, UV imaging, cold cathodes. It is also widely used in
the studies of nuclear and atomic/particle physics. The use of CVD to synthesise
diamond lms has been reviewed [210,220].
Pure diamond lms can not be synthesized using the conventional thermally acti-
vated CVD or other physical vapour deposition method such as evaporation, sput-
tering or ion plating. A more intense energy form such as plasma is required to
enable the growth of diamond lms. There are three main processes based on
PECVD for the fabrication of diamond lms. These include microwave plasma, hot
lament and plasma arc deposition (Fig. 16). Although other method such as acet-
ylene ame deposition [221] has been used as a simple and low cost method for the
deposition of diamond lms, it is dicult to deposit highly pure diamond lms as
compared to those deposited using PECVD based processes. Therefore, this method
is less suitable for depositing diamond lms for microelectronic applications and will
not be discussed in detail here.
Microwave-plasma-assisted chemical vapour deposition (MPCVD) is one of the
most common deposition techniques for diamond lms. The microwave plasma can
be generated using a microwave generator (typically 2.45 GHz) with an electron
density (typically 10
20
electrons/m
3
). The process parameters can be varied to
deposit high quality diamond lms with uniform thickness at dierent conditions for
various applications. The deposition rates and nucleation density can be enhanced
by adding about 0.5% of oxygen in the percursor mixtures [222]. The nucleation
density can also be increased by a biased pretreatment of the substrate with a higher
methane concentration (about 510%) [223]. Smooth (2050 nm root mean square)
diamond lms with nanocrystalline structure have been grown using the microwave
plasma assisted CVD method in Ar and fullerene (the carbon source) [224,225].
These lms have ultra-low friction and wear properties. The friction coecients of
these lms against Si
3
N
4
balls are 0.04 and 0.12 in dry N
2
and air respectively,
comparable to that of natural diamond sliding against the same pin material, but are
lower by factors of 510 than that aorded by rough diamond lms grown in con-
ventional H
2
+ CH
4
plasma. Nanocrystalline diamond lms (average grain size 15
nm) were grown in an ArC
60
microwave plasma [226]. The use of halogenated
carbonhydrogen diamond precursor has been found to enhance low temperature
114 K.L. Choy / Progress in Materials Science 48 (2003) 57170
growth, nucleation density and the quality of diamond lms when compared to the
more common carbonhydrogen system. The increase in diamond quality in the
halogenated systems might be due to the preferential etching of graphite by Cl, F
and HF. The utilisation of halogenated precursors in the CVD of diamond has been
reviewed by Asmann et al. [227].
Hot lament such as heated W lament or Ta (wire or tube) heated to high tem-
peratures (typically above 2000

C) can cause the formation of atomic hydrogen and
generation of plasma. Diamond lms have been synthesised using hot lament che-
mical vapour deposition. The diamond nucleation rate is greatly enhanced in the hot
lament chemical deposition process if a negative glow discharge is produced
around a cathode wire above a substrate [228,229]. The appearance of bias current
and plasma induced on the substrate surface was found critical for the enhancement
of diamond nucleation density. The diamond deposition depends on the surface
orientation of the grains in the substrate, and occurs preferentially on faceted sur-
faces with hill-and-valley structures. The deposited diamond particles show a ten-
dency to orientate in one direction [229]. The substrate temperature (typically
between 850 and 1000

C) and working distance (i.e. between the hot lament and
the substrate) can aect the microstructure, composition and deposition rate of the
diamond lms. The working distance is normally kept below 1 cm to avoid the
recombination of atomic hydrogen. Although this is a simple and low cost technique
for the deposition of diamond lms, the hot lament has a rather short life because
it undergoes carburisation and embrittlement at high temperatures in a hydrocarbon
rich atmosphere. Moreover, the lament is prone to evaporate at elevated temperatures
and contaminate the diamond lms.
The plasma arc diamond deposition involves the generation of a high intensity arc
between two water-cooled metal electrodes (W cathode and Cu anode) using DC,
AC or high frequency current (RF), and a very high temperature can be reached
(above 5500

C) [230]. Fig. 16(c) shows a schematic diagram of the plasma arc
deposition apparatus for diamond deposition. Such high temperatures can cause the
complete decomposition of H
2
into atomic hydrogen which facilitate the diamond
deposition, and leading to a very high deposition rate (e.g. >200 mm/h) as compared
to MPCVD and hot lament CVD which are typically in the range of 0.51 mm/h.
Moreover, as atomic hydrogen and carbon species are heated in the plasma arc, they
undergo sudden expansion and transported instantaneously to the heated substrate
surface. Thus this minimizes the homogeneous gas phase reactions and recombination
of hydrogen.
12.2.3.2. Diamond-like carbon. PECVD can easily be used to deposit diamond-like
carbon (DLC) lms which consist of a mixture of sp
2
and sp
3
bondings. The ratio of
sp
2
:sp
3
can vary as a function of hydrogen content (upto 40 at.% hydrogen). DLC
lms have high hardness and chemical inertness like diamond. The refractive index
and electrical conductivity of DLC can be varied by varying the hydrogen content in
the lms. DLC lms have been deposited using PECVD onto polycarbonate to
improve the resistance of polycarbonate to radiation-induced degradation. The
concentration of free radicals in the uncoated polycarbonate rapidly decreased to
K.L. Choy / Progress in Materials Science 48 (2003) 57170 115
4.4% when exposed to the gamma radiation emitted from a Co-60 source (to the
level of 106 rad). However, the DLC coated polycarbonate remained at 60% [231].
This suggests that DLC inhibits the oxidation reaction between free radicals and
oxygen in the surrounding air.
Diamond-like carbon (DLC) lms are also being used to improve the tribological
properties between the head drum and video cassette recorder (VCR) tape by pro-
viding anti-abrasion and low friction properties. Lee et al. [232] had deposited
10.1 mm thick DLC by high frequency PECVD on VCR head drums using pure
methane. The performances of the DLC coated head drums were tested for 1000 h in
VHS type VCR using a scheduled operation mode variation and under ambient
conditions. It was observed that the DLC coatings on VCR head drums prevent
surface damage caused by the VCR tape and the wear rate of VCR heads was also
reduced by a factor of about 50%.
12.2.3.3. Nitrides. Plasma assisted CVD has also been used for the fabrication of
non diamond materials such as nitrides. The production facilities for IC-technology
applications of CVD-TiN are on the edge of breaking through using PECVD where
the deposition temperatures have been reduced to 500600

C. Research and devel-
opment have shown even lower deposition temperatures possible for TiN and
Ti(C,N) layers using MOPECVD.
Other nitrides such as boron nitride (BN) have been deposited using BCl
3
and N
2
as precursor gases to produce nanocrystalline boron nitride (BN) lms consisting of
hexagonal grains of 3 nm in size [233]. The electron emission characteristic of Si tip
array is much improved by coating with nanocrystalline BN lms. The energy gap is
estimated to be 6.0 eV from ultraviolet-visible optical transmission measurements. The
tunneling barrier height is estimated to be 0.1 eV from the FowlerNordheim plot.
In-situ doped nanocrystalline BN, AlN and GaN lms were deposited by
Werbowy and co-workers [233] using plasma assisted CVD-based method on silicon
substrates. As a result c-BN(n-type)/Si(p-type) as well as AlN(p-type)/Si(n-type) and
GaN(p-type)/Si(n-type) heterojunction structures were fabricated. A hypothetical
energy band diagram of the heterostructures was proposed [234]. The AIN layers
not only showed good breakdown strength but also demonstrated exceptionally
stable and repeatable electrical behaviour [233]. The nanocrystalline AlN layers
grown on silicon substrates at room temperature exhibits relatively low excitation
energy and seems to be promising for light emitting applications [235237].
Nanocrystalline nitride/amorphous nitride have also been fabricated using
PECVD to improve the hardness and oxidation resistance of coated component.
Veprek et al. [238] deposited several micron thick lms of nanocrystalline Me(x)N/
amorphous-Si
3
N
4
(Me=Ti, W, V) materials using PECVD at a rate of 0.61 nm s
1
from the corresponding metal halides, hydrogen, nitrogen and silane at deposition
temperatures of less than or equal to 550

C. A low content of chlorine of less than
or equal to 0.3 at.% assures their stability against corrosion air. The nanocrystal-
line/amorphous lm helps to avoid the formation and multiplication of dislocations
in the nanocrystalline phase, and blocking the crack propagation in a 0.30.5 nm
thin amorphous layer. The hardness of these nanocrystalline lms is greater than or
116 K.L. Choy / Progress in Materials Science 48 (2003) 57170
equal to 50 GPa ( 5000 kg mm
2
). The elastic modulus is greater than or equal to
500 GPa and they have a high stability against oxidation in air up to 800

C.
Moreover, they are thermodynamically more stable than diamond, c-BN, and C
3
N
4
and can be prepared relatively easily.
12.2.3.4. Other materials. The low temperature deposition of PECVD enables the
development of improved devices for microelectronics applications. For example,
the deposition of oxide equivalent gate dielectrics using nitride/oxide composites
prepared by Remote Plasma Enhanced CVD (RPECVD)/oxidation process. Lee et
al. [239] have produced ultrathin nitride/oxide (1.5/0.7 nm) dual layer gate
dielectrics using remote plasma enhanced CVD of nitride onto plasma-grown oxide
interface layers. The high accumulation capacitance (1.72 mF/cm
2
) is measured and
the equivalent oxide thickness is 1.6 nm after quantum eect corrections. As com-
pared to 1.6 nm oxides, a tunnelling current reduction of more than 100 fold is
found for devices with 1.6 nm nitride/oxide dielectrics due to the increased lm
thickness and interface nitridation. Hole channel mobility decreases by about 5%,
yielding very good P-MOSFET current drive. Excellent dielectric reliability and inter-
face robustness have also been demonstrated for P-MOSFETs with nitride/oxide
dielectrics. Example of other functional applications of PECVD are summarised in
Table 6
12.3. Photo-assisted chemical vapour deposition
Photo-assisted Chemical Vapour Deposition (PACVD) is a process that relies on
absorption of light to raise the substrate temperature and cause thermal decomposition
of the precursor in the gas phase and/or substrate surface. The decomposed inter-
mediate products undergo subsequent heterogeneous chemical reactions on the
substrate surface to form the desired lm. The PACVD process can be performed at
atmospheric or reduced pressure (e.g. 0.011 atm). Unlike the thermally heated
Table 6
Examples of other functional applications of PECVD lms
Film Precursor system Deposition
temperature
Application
SiO
2
SiH
4
+N
2
O 200300 Dielectric [240]
a-Si SiH
4
+H
2
Solar cell, thin transistors memory switches [241]
GaAs (CH
3
)
3
Ga, AsH
3
-450 Epitaxial layer
W WF
6
+H
2
350 Conductor
Mo MoF
6
+H
2
350 Conductor
a-C
a
Methane, acetylene -400 Thermal conductor, electrical insulator,
mechanical hardness [242,243] precision mechanical
parts, magnetic data storage unit and sound heads,
bearings in vacuum
a
a-C, amorphous carbon, a metastable phase of carbon that contains hydrogen and exhibit properties
between graphite and diamond.
K.L. Choy / Progress in Materials Science 48 (2003) 57170 117
reactor in the conventional CVD, there is a provision of a window in the deposition
chamber for optical access to the chamber via a suitably transmitting window for
the excitation wavelength. Some commonly used PACVD reactor designs have been
reviewed [244]. Since the initial work by Deutsch, Ehrlich and Osgood [245] the
interest and R&D in laser assisted CVD have accelerated. There are several reviews
on laser assisted CVD (e.g. [244,246248]).
There are a variety of light sources for PCVD such as arc lamp, CO
2
lasers, Nd-YAG
lasers, excimer lasers and argon ion lasers. These exclude the use of lamps as heating
sources as in the case of resistance or RF heating. The decomposition and/or chemical
reactions can be initiated via photothermal (pyrolytic) or photolytic mechanism.
12.3.1. Photo-thermal
The photothermal mechanism is often used for selected area deposition which can
be achieved either by laser scanning or projection imaging using a pulsed laser
source. The use of pulsed laser sources can provide localised surface heating, with-
out heating the bulk substrate. Thus, this avoids any thermal damage to the sub-
strate while achieving suciently high transient temperatures that are required for
photothermal decomposition.
The rise of peak temperature at time t,
0
t ( ) which is at the centre of the laser
spot can be determined using Eq. (5), where p is the incident laser power, R the
reactivity from the surface, t
0
is the diusion time over one spot size, o
0
is the beam
width and K
T
is the thermal conductivity [249].

0
t ( ) = p 1 R ( )arctan t,t
0
( ),
3,2
o
0
K
T
(5)
The laser wavelength from the near ultraviolet through to the infrared is selected
in order to give a photon energy greater than the bandgap of the substrate so that it
is highly absorbing in the substrate for photo-thermal deposition and no absorption
in the vapour phase. However, the visible range wavelength is often used.
12.3.2. Photolytic
The wavelengths (4250 nm) in UV spectrum can cause the non-thermal decom-
position formation of radicals from chemical precursors, and allow the deposition to
occur at a lower temperature than the photo-thermal decomposition. For examples,
PACVD can deposit GaAs using Tetraethyl gallate (TEGa) and AsH
3
at a tem-
perature considerably lower than in conventional MBE and MOCVD [248]. TEGa
has a peak absorption wavelength at 250 nm. The absorption properties of a range
of chemical precursors such as metalorganics, halides and hydrides can be found in
Ref. [250]. The photon absorption characteristic can be determined using the fol-
lowing Eq. (6), where R
a
=rate of reaction in the vapour, I
0
=incident UV intensity,
hv=photon energy, F=photochemical quantum eciency, o l ( )=absorption cross
section, p=partial pressure, l=optical path length, k=Boltzmanns constant, and
T=vapour temperature (K) [244].
R
a
= I
0
,hv ( )Fexp o l ( )pl,kT ( ) (6)
118 K.L. Choy / Progress in Materials Science 48 (2003) 57170
The mechanism and examples of photolytic reactions in PACVD have been
reported [244,251]. In general the photolytic process is more suitable for low tem-
perature deposition rather than localised deposition. This is because localised
deposition requires photo-simulated surface reactions, whereas the photolytic reac-
tions induce the radical formation and reactions in the gas phase which yield the
product that diuse into the surface.
Other deposition mechanism includes mercury photosensitization that uses a
photosensitizer to transfer the photon energy to the precursor [252]. This is parti-
cularly useful where the precursor does not have a strong absorption band close to a
laser or lamp source, such as for silane and disilane.
12.3.3. Advantages
The following key advantages of PACVD has provided the motivation for the
development of PACVD method:
(i) Localised deposition, selected area deposition or patterned lms can be
achieved by rastering a focused laser on localised area or image projection of
a pattern.
(ii) Low deposition temperature. Thus minimise dopant diusion, defect, interlayer
diusion and thermal stress created with high temperature processing. This issue
becomes important when the device size decreases to sub-micron levels.
(iii) Low excitation energies (typically -5 eV). Thus avoid lm damage, and free
from any ion bombardment damage that is encountered in plasma assisted
CVD.
(iv) PACVD process has limited possible reaction pathways as compared to
plasma enhance CVD or thermally activated CVD due to the narrow energy
distribution of photons emitted from lasers or a spectrally ltered lamp.
Therefore, PACVD have greater control over lm properties than PECVD or
conventional CVD and reduces unwanted reactions and eliminates radiation
damage [253].
12.3.4. Applications. The application of PACVD is almost exclusive for the eld of
microelectronics. A range of materials for microelectronics has been deposited using
PACVD including
(i) Semiconductors (e.g. Si [254,255], IIIV [256,257], IIVI [258,259]).
(ii) Metals (e.g. Al for integrated circuit interconnections [260262]).
(iii) Insulators (e.g. SiO
2
[263], and Si
3
N
4
[264]) for interlayer electrical insulation,
gate electrode isolation in eld eect transistors, device encapsulation, etc.
These materials have been deposited using either
(i) Line-writing where focused lasers are used for local rapid deposition by ras-
tering the laser beam or substrate so that ne lines can be deposited for cir-
K.L. Choy / Progress in Materials Science 48 (2003) 57170 119
cuit customisation (e.g. laser writing of metal interconnects on an integrated
circuit) and photomask repair [260].
(ii) Pattern projection where the image of a mask is illuminated using a large area
beam (e.g. UV radiation) and projected onto a wafer substrate through a series
of lenses. This results in the in-situ formation of the pattern. Thus, it helps to
simplify the processing by eliminating any photolithographic steps using photo-
resist and minimise contamination caused by exposure to air. The substrate can
be kept at a low temperature where the surface coverage will be high for good
surface selectivity. The growth rate increases for lower substrate temperatures,
going through a maximum around one-monolayer coverage leading to the fab-
rication of a smallest feature size with sub-micron resolution.
In summary, the technical viability and custom applications of PACVD in
microelectronics have been demonstrated and used commercially. The unique
advantages of PACVD such as selected area deposition and low deposition tem-
perature characteristic, combine with the improvements of laser and the availability
of a reliable laser with a higher average and peak power have prompted further
R&D in this area to improve the quality of the deposited semiconducting materials
and explore new application areas.
PACVD has also been used for R&D to deposit diamond [265] and TiN lms
[266]. For example, single phase and stoichiometric TiN lms have been deposited
using laser assisted CVD by scanning linear deposition in a dynamic atmosphere on
AISI 52100 bearing steel using TiCl
4
, NH
3
, C
2
H
4
and H
2
as reactant gases induced
by CO
2
laser. The deposited lms comprised about 2 mm equiaxed particles, each
particle consisting of about 15 nm nanocrystalline grains. The Knoop microhard-
ness of the lms is HK 1400 and the highest is HK1602, the wear resistance of the
lms is four times than that of the substrates.
In addition to lms, PACVD has been explored for the fabrication of nanosized
powders as reviewed in Ref. [2], as well as 3-D micro-scale free-standing structures
such as micro-scale bres and helical structures. The 3-D microstructures have been
fabricated using PACVD and a combination of a linear three-axes micropositioning
system and a rotating goniometer which provide many degrees of freedom (e.g.
translation and rotation) for the fabrication of arbitrary geometrical (3-D) shapes
[267,268]. The 3-D structures have been fabricated by moving the focal point of the
laser beam relative to the growth structure by rotation and translation of the sub-
strate. For example, Westberg et al. [268] have used silicon bre fabricated using the
Laser Chemical Vapour Deposition (LCVD) as a substrate in a subsequent step for
the growth of a tungsten helix coil. This resulted in the fabrication of a simple
micro-solenoid consisting of a tungsten helix coil on a silicon bre in two LCVD
steps potentially in the same reactor chamber. Other examples include a complex
millimetre-sized structure of Al fabricated on a preform by CVD using a movable
goniometer [267]. The major limitations of LCVD for the fabrication of micro
mechanical components are low deposition rates typically of an order of mm/s
resulted in relatively long fabrication time [268], and the applications of LCVD have
been limited for the fabrication of micro mechanical components with complex
120 K.L. Choy / Progress in Materials Science 48 (2003) 57170
geometry and/or where the technique provides a unique solution to a specic prob-
lem. This is because the LCVD process is not technically and commercially viable
for batch production.
12.4. Atomic layer epitaxy process
Atomic Layer Epitaxy (ALE) can be considered as a special mode of CVD. It is a
surface deposition process that can be used for the controlled growth of epitaxial
lms, and the fabrication of tailored molecular structures on the surfaces of solid
substrates. Monatomic layers can be grown in sequence which is a characteristic
feature of ALE. Therefore, the desired coating thickness can be produced simply by
counting the number of reaction sequences in the process. The surface reconstruc-
tion of the monolayer formed in the reaction sequence will inuence the saturation
mechanism and the saturation density of the precursor. The ALE reaction sequences
are normally perform in an eective overdosing condition to ensure a complete
saturation of the surface reaction to form the monoatomic layer. Furthermore, such
eective overdosing condition also provides good conformal coverage that allows
uniform coatings onto complex shaped substrates. The sequencing in ALE also
eliminates the gas phase reactions, and enables a wider choice of reactants (e.g.
halides, metalorganics, elemental metal, etc.). The ALE process has the potential to
be scaled up for the deposition of high quality thin lms with excellent uniformity
and reproducibility onto large area substrate [269,270].
The ALE process can be performed at atmosphere pressure or using an inert gas
such as CVD or in a vacuum system as in molecular beam epitaxy. The use of
vacuum enables a variety of in-situ surface analysis methods as described in Section
10 to be incorporated into the ALE equipment for the in-situ analysis of the growth
mechanism and the deposited surface structures [271273]. A detailed review on the
ALE process, reactant and the reactor used is available in reference [274]. The the-
oretical evaluation of lm growth rate during ALE has been investigated by Park et
al. [275] using the concept of fractional coverage exchange. It was found that the
quantity of adsorbate is highly related to the surface coverage of each element. The
model can conrm that the periodic boundary condition of the surface coverage
during a cyclic deposition is satised after the transition period in which the initial
substrate is still inuencing the lm deposition.
The distinctive sequencing feature in ALE makes it an attractive method for the
precise growth of crystalline compound layers, complex layered structures [276],
superlattices [277,278] and layered alloys with precise interfaces. ALE was initially
developed for the growth of polycrystalline and amorphous thin lms of ZnS and
dielectric oxides for electro luminescent display devices [279]. Nowadays, a wide range
of thin lms have been synthesised using ALE methods. These include semiconductor
IIIV (e.g. [280283]), IIVI (e.g. [284286]), oxides [269,287289], nitrides (e.g.
[290,291]) covalent materials [292], phosphide [293] and metallic lms [294].
The ALE process can produce lms with good conformal coverage and it has the
ability to control lm thickness accurately at the sub-nanometre level. Such dis-
tinctive advantages have made it a potentially valuable tool for nanotechnology. The
K.L. Choy / Progress in Materials Science 48 (2003) 57170 121
capabilities and challenges of using ALE in nanotechnology have been discussed
[295].
Mukai et al. [296] have investigated the use ALE to control the growth and hence
the quantum connement potentials in self-formed In
0.5
Ga
0.5
As/GaAs quantum
dots. The dots were grown by an alternate supply of (InAs)/(GaAs) precursors. As
the number of supply cycles increased from 9 to 30, the dot size was increased from
20 to 32 nm in diameter and photoluminescence (PL) spectra shifted to a lower
energy. The smaller the dots, the smaller were the diamagnetic shifts.
Englemann et al. [297] have used ALE to fabricate CdSe/SrS multi-quantum well
system, which is a potentially new class of articially engineered phosphors activated
by quantum wells (QWs) for electroluminescent displays. The quantum wells are
embedded in a high band gap material, which acts as irradiative centers. Various
QW widths (315 nm) were studied. The reduction in QW width shifts the emission
towards shorter wavelength and the emission spectra exhibit multiple peaks, resulted
from the transitions from several QW levels.
Nanolaminate structures consisting of 320 nm thick layers of two or three dierent
oxide materials such as Ta
2
O
5
ZrO
2
, Ta
2
O
5
Al
2
O
3
have been produced using the ALE
method. The leakage current of the nanolaminate structures was signicantly reduced
as compared to conventional dielectric lms.
Other examples of the application of ALE is to exploit the good conformal cov-
erage characteristics of the process to deposit coatings in micropores of porous
materials during the fabrication of porous supports for the heterogeneous catalyst
[298]. The conformal coating of using ALE on other porous material such as a silicon
host matrix have also been reported [269,299]. For example, uniform distribution of
ALE deposited tin oxide and gallium oxide in a porous silicon host matrix was detected
by Rutherford backscattering spectrometry and secondary ion mass spectrometry [299].
ALE has been used to fabricate a multilayer stacked electro luminescent device. It
consists of a series of double insulating-layer electro luminescent units stacked up on
top of one another, separated by transparent electrodes and alternately biased in
opposite directions [300]. The design allows independent control of the drive voltage
and the total phosphor thickness. The drive voltage depends only on the individual
phosphor layer thickness whereas the total phosphor thickness, and thus the total
brightness, can be increased by increasing the number of layers as demonstrated by
prototype devices fabricated by ALE and predicted by equivalent circuit analysis.
AlP and GaP ALE are primarily used for fabrication of X-ray multilayer mirror.
The layer-by-layer growth nature of ALE enables the exact control of reection wave-
length of multilayer mirrors. An AlP/GaP multilayer mirror with a reectivity in excess
of 10% is realized by ALE at the wavelength of the absorption edge of Al in AlP [293].
12.5. Metalorganic chemical vapour deposition
12.5.1. Denition
Metalorganic Chemical Vapour Deposition (MOCVD) is a variant of CVD,
which has been classied according to the use of metalorganics as precursors.
122 K.L. Choy / Progress in Materials Science 48 (2003) 57170
Table 7
Examples of metalorganic and organometallic precursors systems that are commonly used to grow IIIV,
IIVI and IVVI semiconducting materials, as well as metallic lms
Materials Precursors Applications Refs.
IIIV
GaAs/GaAs on GaP
substrate
TMGa, TEGa, Light emitting diode (LED)
AsH
3
Injection laser
AlGaAs/GaAs/AlGaAs TMAl, TMGa, TEGa,
AsH
3
Double heterojunction and
quantum well lasers
[326]
InGaAsP TMIn, TMGa, Fibre optics [327]
TEGa, AsH
3
, PH
3
Communications
INP/INGaAs Double heterojunction bipolar
transistor
[331]
InGaAsP on GaAs
substrate
Al-free injection lasers
(l=0.98 mm)
[328]
AlGaInP [329]
InAlGaP on GaAs
substrate
TMIn, TMAl, Red and yellow LEDs [330]
TMGa, TEGa,
PH
3
Injection lasers emitting
(l=0.98 mm)
InGaAs on InP
substrate
TMIn, TMGa, TEGa,
AsH
3
High speed optoelectronic
devices (e.g. high electron
mobilitytransistor,
heterojunction bipolar
transistors)
IIIV nitride
InAlGaN TMIn, TMAl, Blue LEDs [312]
TMGa, NH
3
IIVI
Wide band-gap
ZnCdSe DEZn, DMCd, DTBSe Blue-green LEDs [332]
ZnCdSSe DEZn, DMCd, LEDs, laser diodes [314,315]
ZnMgSSe DES, H
2
S(MeCd)
2
Mg,
DMSe, DTBSe,
Narrow band-gap
HgCdTe DMHg, DETe, MATe,
DIPTe,
Infrared detectors [313,314,333]
HgCdZnTe DMCd, DMZn
IVVI
PbSnTe TEPb, TESn, H
2
Te Infrared detectors [334]
Metals
Cu (CF
3
COCHCOCF
3
)
2
Cu High density [320]
Al TMAl Interconnects for Si
integrated circuit
Note: DE: diethyl; DIP: diisopropyl; DM: dimethyl; DTB: ditertiarybutyl; MA: methylallyl; TE: triethyl;
TM: trimethyl.
K.L. Choy / Progress in Materials Science 48 (2003) 57170 123
Compounds containing metal atoms bonded to organic radicals are known as
Metalorganics. Compounds having one or more direct metalcarbon covalent
bonds are called organometallics. The use of organometallic precursors have led
to the rename of the deposition process as organometallic CVD (OMCVD) to reect
more precisely the choice of precursors used.
MOCVD can be used to deposit a wide range of materials in the form of amor-
phous, epitaxial and polycrystalline lms. Table 7 shows examples of metalorganic
and organometallic precursors systems that are commonly used to grow IIIV,
IIVI and IVVI semiconducting materials, as well as metallic lms, dielectric lms,
and their applications. A more comprehensive compilation of metalorganic pre-
cursors is available in Refs. [301,302]. A general discussion of the precursors
employed in MOCVD has been compiled and summarised in, e.g. Refs. [303,304].
The deposition of lms using MOCVD has been reviewed [303,305,306]. There is
also an international conference dedicated to Metal Organic Phase Epitaxy, held
biannually.
12.5.2. Process characteristics and advantages
The metalorganic or organometallic precursors generally undergo decomposition
or pyrolysis reactions. In general, metalorganics and organometallic precursors have
lower decomposition or pyrolysis temperatures than halides, hydrides or halohy-
drides. Thus, enable MOCVD process to perform at a lower deposition temperature
than conventional CVD, which generally uses halides or hydrides. For example:
600800

C (0.11 atm)
Organometallic: (CH
3
)
3
Ga + AsH
3
GaAs+ 3 CH
4
800

C (reduced pressure)
Halide: Ga + AsCl
3
+ 3/2H
2
GaAs +3HCl
At deposition temperatures below 500

C, the reaction in the MOCVD and OMCVD
processes is kinetically limited. Whereas at a middle temperature range between 550

C
and 750

C, the reaction is diusion-rate limited. At temperatures above 800

C, the
reaction is limited by homogeneous reaction and parasitic deposition on the wall of
reactor [307]. MOCVD and OMCVD tend to involve endothermic reactions, thus
cold-wall reactors with a single temperature zone can be used. The thermal envir-
onment for the decomposition and/or deposition reaction of the precursors can be
supplied using resistance heating, radio-frequency or infrared lamp heating.
The MOCVD or OMCVD can be performed at atmospheric pressure and low-
pressure (about 2.726.7 kPa). For a typical MOCVD process, the deposition is
entirely kinetically controlled at very low deposition pressure ( -1 kPa), even though
the deposition temperature is relatively high. At pressures above 1 kPa, the growth
rate is predominantly controlled by diusion-rate limited mechanism [303]. The
deposition was entirely kinetically limited in a MOCVD process that is performed
under ultrahigh vacuum ( -0.01 kPa) condition, [308]. Such ultrahigh vacuum
MOCVD is also known as metalorganic molecular-beam epitaxy or chemical
beam epitaxy [309]. The common carrier gas and growth environment used during
124 K.L. Choy / Progress in Materials Science 48 (2003) 57170
the deposition is hydrogen. Hydrogen is often used as the precursor carrier gas and
growth environment for non-oxide lms.
12.5.3. Limitations
Metalorganic precursors tend to be very expensive compared to halides, hydrides,
and halohydrides and they are not widely available commercially for some coating
systems. Therefore, they often need to be synthesised specically for certain applica-
tions. Furthermore, most metalorganics are volatile liquids and thus require accurate
pressure control.
The organometallic precursors are normally very reactive and hence they are dif-
cult to purify. The growth of high quality semiconductor materials requires pre-
cursors with low oxygen content. It has been demonstrated that (trimethyl
aluminium) TMAl with low oxygen content has led to an increase in the photo-
luminescence intensity of AlGaAs lms by a factor of 310 as compared to the those
fabricated using normal grade of TMAl precursors [310]. Therefore, special high
purity metalogarnic precursor with low oxygen content are required in the growth of
semiconducting lms and they are often expensive.
12.5.4. Applications
Despite the high cost of precursors, MOCVD and OMCVD have been developed
especially for the growth of epitaxy of IIIV [305307,311,312] as well as IIVI [313
316] and IVVI semiconducting material [317] for opto-electronic applications (e.g.
light-emiting diode, heterojunction bipolar transistors, solar cells, photocathodes,
advanced laser designs such as quantum well and double heterostructures, etc.).
Therefore, this type of CVD process is also labelled as organometallic vapour phase
epitaxy, (OMPVE) or metalorganic VPE (MOVPE). Almost all the IIIV semi-
conductor compounds have been grown using MOCVD or OMCVD method. In
addition, MOCVD has also been used to grow metallic lms such as Al, Cu, CuAl and
W as high-density metal interconnects for Si integrated circuit technology [318320].
MOCVD has also been used to grow metal oxide lms such as ferroelectric (e.g.
PbTiO
3
, PbZrTiO
3
, BaTiO
3
) [321,322], dielectric (e.g. ZnO) [323] and superconducting
(e.g. YBa
2
Cu
3
O
x
) lms [324,325]. However, the MOCVD of these lms is still in its
infancy and more suitable metalorganic precursors are yet to be developed for these
applications. The inuence of process parameters and the deposition mechanism in the
oxidising growth environment are yet to be understood and optimised.
12.6. Pulsed injection metalorganic chemical vapour deposition
12.6.1. Problems associated with the MOCVD method, especially for the deposition
of multicomponent oxides or multilayers
The synthesis of high quality lms requires volatile precursors that will undergo
pyrolysis easily. However, the low thermal stability of the metalorganic precursors
due to their polymerisation or hydrolysis changes the rate of evaporation with time,
which has led to diculties in controlling the composition of both the vapour phase
and lms.
K.L. Choy / Progress in Materials Science 48 (2003) 57170 125
In addition, the low vapour pressure of some available precursors such as Ba, and
rare earth elements, as well as ageing of the precursors have led to the loss of
volatility and formation of residue and hence aect the chemical reaction and can
result in coating reproducibility problems. In fact, such problems are common to
other CVD methods and can not even be overcome using the metalorganic precursors
sources in MOCVD.
12.6.2. Solutions to the problems using single source and special precursor injection
methods
Single source precursors have been used to overcome the problem of vapour phase
control and homogeneity of the unstable precursors. The single source precursor is
contained in a closed container under an inert gas at room temperature, a small
quantity of the precursor is introduced into an evaporator held at a high tempera-
ture, where it is being ash volatised. This allows fast evaporation of the precursor
and a shorter delivery time of the vapour precursor to the reaction zone which also
leads to a higher growth rate (e.g. mm/s) than the conventional bubbler method.
This technique also enables the use of precursors with lower volatilities than the
classical multi-source precursors and the composition of the vapour is the same
as the mixture of precursors thus allowing the synthesis of multicomponent lms
(including those containing Ba, and rare earth elements) with a better control of
composition and stoichiometry and higher reproducibility. The use of single
source precursors also simplies the control of process parameters of the
MOCVD process for synthesising a compound containing n elements to only six
parameters compared to 2n +3 parameters in the conventional multi-source
MOCVD [335].
Various single source powder precursors have been used and reviewed [335]. These
include the use of a vibrating feeder [336,337] to control the introduction of powder
precursors into the ash evaporator and the slow introduction of a compact rod
inside the evaporator. The solid single source precursor works well on laboratory
scale. However, there is diculty in the precision handling the ow of powders for
large scale deposition, whereas the ow rate of liquid is easier to be controlled than a
solid precursor. The single source solution is prepared by dissolving the starting
chemicals in solvent (e.g. tetrahydrofuran, monoglyme, and hexane). The solution
can be introduced inside the evaporator by generating an aerosol from the solution
using ultrasonic means [338,339]. However, this method has problems in stabilising
the ux of aerosol if the appropriate condition for aerosol generation, delivery sys-
tem and nozzle are not used. The solution can also be introduced using microsyringe
pump or liquid mass ow controllers [340,341]. However, the thermal gradients that
exist in these devices may cause the precipitation of the solid precursor when the
solvent has been evaporated. Injection of solution inside a sophisticated three-way
valve has been used in industry to minimise such problems.
12.6.3. Pulsed injection MOCVD
12.6.3.1. Principles. Senateur and co-workers [342] have developed a Pulsed Injec-
tion Metalorganic Chemical Vapour Deposition (pulsed injection MOCVD)
126 K.L. Choy / Progress in Materials Science 48 (2003) 57170
method. It uses fuel injection principles in thermal motors. The method involves
sequential injection of micro amounts (i.e. few mg) of a metal organic precursor
solution into an evaporator through a high speed microelectrovalve with the aid of
pressurised inert gas (at room temperature) inside a container, where the injected
solution is ash volatilised. The ow rate (0.05100 g/min) is controlled by succes-
sive fast opening of the valve similar to the fuel injection system. The control is
relatively simple and versatile using two computer controlled parameters [i.e. fre-
quency (1100 Hz), electrical pulsed width (28 ms)] which controls the number of
precursors drops injected with xed injection parameters (e.g. size of each drop,
frequency).
12.6.3.2. Advantages. The distinct advantages of the pulsed injection MOCVD tech-
nique over conventional CVD precursor delivery sources (e.g. bubbler or sublimators)
are [335,343]:
+ the pulsed injection MOCVD method reduces the number of process para-
meters from 2n+3 to 6.
+ The thickness of the layer, coating stoichiometry and the growth rate can be
controlled precisely (digital growth) using the pulsed injection MOCVD. This
is especially important for the synthesis of superlattice and multilayers with
complex stacking at nanometer scale level or the study of variation of stoi-
chiometry on the properties of materials. A mean thickness as low as 0.1 nm
can be obtained at each injection by adjusting the valve opening time and
solution concentration. Complex multiplayer stacking can be achieved by
using two injection sources sequentially.
+ The reproducibility of the properties of the deposited layers are enhanced
using the pulsed injection MOCVD.
12.6.3.3. Applications. A wide range of lms can be deposited using the pulsed
injection MOCVD method, especially the multicomponent and multilayer coatings
which are dicult to be deposited using conventional CVD and MOCVD. Multi-
component superconducting oxide thin lms such as YBa
2
Cu
3
O
7
have been pro-
duced on LaAlO
3
single crystal substrates which exhibited T
c
=92.1 K, and J
c
(77 K)
=46 mA/cm
2
[335].
This technique has the potential to produce integrated multilayer structures with
dierent physical properties. For example SrTiO
3
/YBa
2
Cu
3
O
7
double layer [343], YBa
2
Cu
3
O
7
-delta/PrBa
2
Cu
3
O
7
-delta multilayers [344], complex stackings of multilayers of
crystallised Ta
2
O
5
/amorphous SiO
2
for optical guides, antireective layer applications
[345], as well as (La,Sr)MnO
3
/SrTiO
3
superlattices with various modulation lengths
have been deposited on single crystal substrates.
The precise control of the growth behaviour enables this process to be used in the
synthesis of nanometer thin multilayer or oxide superlattice materials for the devel-
opment of improved peizoelectric actuators, IR detectors and non-volatile mem-
ories, etc.
K.L. Choy / Progress in Materials Science 48 (2003) 57170 127
12.7. Aerosol assisted chemical vapour deposition
There are also variants of the CVD process based on the use of aerosol precursors
known as aerosol assisted chemical vapour deposition (AACVD). The aerosol can be
generated by atomising the chemical precursors into nely divided sub-micrometer
liquid droplets (aerosol). The droplets are distributed throughout a gas medium using
the ultrasonic aerosol generator, electrostatic aerosol generator or electrospraying
method. The chemical precursor can be prepared by dissolving solid or liquid start-
ing chemicals into a solvent (normally an organic solvent with a high boiling point)
or a mixture of solvents to assist the vaporisation of the chemicals and provide
additional thermal energy for the dissociation or decomposition of the chemicals.
The generated aerosol will be delivered into a heated zone, where the solvent is
rapidly evaporated or combusted, and the intimately mixed chemical precursors
undergo subsequent decomposition and/or chemical reaction near or on a heated
substrate to deposit the desired lm.
The main advantages of AACVD are
(i) it simplies the vapour precursor generation and delivery method as com-
pared to the conventional CVD method which uses a bubbler/vaporiser
method, and hence, lowers the cost of the deposition process;
(ii) it tends to use single source precursors which provide good molecular mixing of
chemical precursors which enables the synthesis of multicomponent materials
with well controlled stoichiometry;
(iii) it allows rapid formation of the deposited phases at relatively low tempera-
tures due to the small diusion distances between reactant and intermediates;
and
(iv) it is a relatively low cost process as compared to conventional CVD because
the AACVD process can be performed in an open atmosphere for the
deposition of oxide and some less oxygen sensitive non-oxide materials
without the need of any sophisticated reactor and/or vacuum system.
The selected aerosol generation method will inuence the size of the droplet and
its distribution and production rate. Hence, the nature and composition of the
reaction product. Dierent ways of aerosol generation methods have been reviewed
in reference [346] and it is briey summarised here. Ultrasonic aerosol generation
methods use a piezoelectric transducer placed underneath a liquid precursor. The
properties of the aerosol depend on the nature of the liquid precursor and the
intensity and frequency of the ultrasonic beam. The wavelength l, of the vibrations
to the excitation frequency, f, can be described using Kevins formula: l
3
=2o/,f
2
,
where , and o are the density and surface tension, respectively. The diameter of the
droplets can be determined using the equation d=k[2o/,f
2
]
1/3
established by Lang
[347], where k is a constant. The diameter of the droplets is a function of l (d=k
/
l)
and, hence, the ultrasonic frequency. This method can produce aerosol with narrow
droplet size distribution as compared to pneumatic spraying which would lead to a
better aerosol uniformity and coating quality.
128 K.L. Choy / Progress in Materials Science 48 (2003) 57170
Electrostatic aerosol generator involves generating aerosol using the ultrasonic
means followed by charging the aerosol electrostatically. Whereas the electrostatic
atomisation method involves applying an electrical potential to a cylindrical spray
nozzle which causes the atomisation of liquid into ne charged spray droplets
(typically sub-micron) and the formation of a stable spray cone called a Taylor cone.
The cone is formed when the surface tension of the liquid precursor placed under an
electric eld balances with the electric force [348]. The droplet diameter and the
current through the liquid cone can be estimated using the following equations in (7)
as given by Ferna ndez de la Mora and Loscertales [349]:
d
d
= b
1
c
r
( )
Qc
r
c
0
K

1,3
I = b
2
c
r
( )
,QK
c
r

1,2
(7)
where d
d
is droplet diameter (m), Q is liquid ow rate (m
3
s
1
), K is conductivity (S
m
1
), , is surface tension (N m
1
), c
r
is relative permittivity of the liquid, c
0
is per-
mittivity of a vacuum (C V
1
m
1
), I is current (A), b
1
and b
2
are functions of the
liquid permittivity. These relationships are often called the scaling laws for electro-
hydrodynamic atomization in the cone-jet mode and are only valid for liquid cones
with a at radial prole of the axial liquid velocity in the jet.
Equations in (7) are applicable only when the liquid has high conductivity and
viscosity. If not, the equation, proposed by Gan a n-Calvo et al. [350] is more
appropriate:
if 3

,c
0
,
2
Kj
3
s
- 1. then d
j
=
Qc
0

[ 1
_
K

1,3
(8)
Fig. 17. Inuence of the temperature of the aerosol assisted deposition process.
K.L. Choy / Progress in Materials Science 48 (2003) 57170 129
if 3

,c
0
,
2
Kj
3
s
> 1. then d
j
=
,c
0
Q
3
,K

1,6
(9)
where , is density, , is surface tension (N m
1
), [ is permitivity, K is conductivity (S
m
1
), j is viscosity, Q is liquid ow rate.
The generated aerosol will undergo four possible deposition mechanisms depend-
ing on the deposition/substrate temperature as shown in Fig. 17 [346].
+ Process 1: The aerosol precursor droplets are sprayed directly onto a heated
substrate, followed by the removal of the solvent through evaporation and
decomposition of the precursor to the nished product. Occasionally, the
deposited lm will be subjected to a further sintering step to achieve a dense
crystalline lm. Dense thin lms (-1 mm) with an ultrane crystalline structure
can be obtained using this deposition mechanism. In order to obtain a thick
lm, Process I has to be repeated several times in order to obtain the required
thickness. Obviously, this is a time consuming process for the deposition of thick
lms. Moreover, as the lm thickness increases, the deposited lm tends to
be porous, and cracking or spalling of the lm can occur because of
repeated drying, decomposition, and/or sintering procedures.
+ Process II: The solvent is evaporated prior to arriving onto the substrate
surface, and the precursor precipitate is subsequently deposited onto the
heated substrate and decomposed and/or undergoes chemical reactions to
yield the desired materials.
+ Process III: The solvent is evaporated while approaching the substrate sur-
face, and the precursor precipitate formed subsequently undergoes volatili-
sation near the vicinity of the substrate surface and adsorption of the vapour
onto the heated substrate surface, followed by the decomposition and/or
chemical reactions to yield the desired materials. This mechanism is similar to
the heterogeneous CVD deposition process, which tends to produce dense
lms with excellent coating adhesion.
+ Process IV: As the deposition/substrate temperature is very high, the
decomposition and/or chemical reaction occur in the vapour phase, leading
to homogeneous nucleation (similar to the homogeneous CVD reaction),
and, hence, formation of stable ne particles in the gas phase, which are then
deposited onto the heated substrate. The particles are then sintered on the
heated substrate, leading to the formation of porous lms, with poor adhe-
sion. Alternatively, the powders can be collected in the gas phase for the
production of ultrane powders.
Only Processes III and IV can be classied as aerosol assisted chemical vapour
deposition. This is because according to the CVD denition, chemical precursor
must be in the form of chemical vapour that undergo chemical reactions (i.e.
homogeneous and/or heterogeneous chemical reactions). Therefore, these factors
narrow down various aerosol based deposition techniques which have been reviewed
130 K.L. Choy / Progress in Materials Science 48 (2003) 57170
in Ref. [346] to a few true chemical vapour deposition processes. Examples of aero-
sol assisted chemical vapour deposition for the deposition of high quality lms with
satisfactory reproducibility are AAMOCVD, CVD pyrosol, and ESAVD.
12.7.1. Pyrosol
12.7.1.1. Process principles. Pyrosol is a patented method developed by Spiz and Vigue
[351]. It is an abbreviation of pyrolysis of an aerosol. Pyrosol is based on the aerosol
assisted chemical vapour deposition approach and this method has been reviewed [346].
It involves the use of an ultrasonic aerosol generation method to generate an aerosol.
The aerosol is conveyed by a carrier gas towards the heated substrate to be coated and it
is subsequently decomposed by pyrolysis to deposit thin lms (e.g. metal oxides, suldes
and metals). For the deposition of an oxide, air is used as the carrier gas, whereas neutral
gases, such as argon or nitrogen, are used for non-oxide deposition.
A typical Pyrosol equipment is shown in Fig. 18 [352]. The main components used
in the Pyrosol process are an ultrasonic atomiser, an aerosol spray nozzle, a pyr-
olysis reactor, and an exhaust gas system for the gas. The main process parameters
are substrate temperature, distance between the aerosol spray nozzle and substrate,
aerosol generation rate, ow rate of carrier gas. The process parameters are tailored
such that the Pyrosol occurs through Process III to produce a dense lm.
12.7.1.2. Applications. The Pyrosol process has same the advantages as outlined for
the AACVD. It has been used for the deposition of thin lms, mostly oxide lms
onto glass, ceramic, or stainless steel substrates for optics, electronics, decoration
and solar energy conversion applications. Uniform and high quality transparent
conductive lms of simple oxides such as In
2
O
3
and SnO
2
for electrical and opto-
Fig. 18. A typical pyrosol process equipment: (a) conveyor furnace; (b) and (c) rotary furnaces.
K.L. Choy / Progress in Materials Science 48 (2003) 57170 131
electrical applications have been produced using this simple and inexpensive
method. The transmission of light (l=0.40.7 mm) for an approximately 6000 A

thick In
2
O
3
(e=0.12) lm is 88 and 85% for SnO
2
(e=0.2). Doped oxide lms such
as In
2
O
3
SnO
2
lms have been synthesised using Pyrosol of metalorganic precursors
which exhibited resistivities of approximately 2.210
4
cm. The promising results
have resulted in this method being developed commercially for applications such as
electrodes for display systems [352].
Highly transparent, low-resistance SnO
2
:F thin lms have also been deposited
using Pyrosol process [353]. A mixture of dimethyltin dichloride, NH
4
F, and HF
dissolved in water was used as the precursor for the deposition of SnO
2
:F thin lms
at 530

C on 1010 cm glass substrates. The growth rate was 100 A

/s, with good


coating homogeneity. A lm of 5000 A

thickness exhibited the lowest electrical resis-


tivity, of 3.910
4
cm, a mobility value of 38 cm
2
V
1
s
1
, and a donor concentration
of 4.161020 cm
3
. Films of 50006000 A

thickness having an average transmittance


of nearly 85% in the visible range and a sheet resistance of around 8 /&were used as
transparent conducting oxide lm substrates to fabricate thin lm CdS/CdTe solar
cells. A solar energy conversion eciency of over 14% was achieved.
Multicomponent oxide lms with oriented growth features have also been depos-
ited using this technique. For example, [100]-oriented Li
2
B
4
O
7
thin lms have been
grown onto Si (111) substrates [354,355] for acoustic wave device applications
because of their high electromechanical coupling constants and their low temperature
characteristic.
This process has also been used for the deposition of non-oxides such as suldes
(e.g. CdS and noble metallic lms such as Pt, Pd and Ru for use as catalysts for
purifying engine exhaust fumes. Catalytic lms containing Pt or Pd nanoparticles
(35 nm) dispersed onto SnO grains (1025 nm) for CO gas sensor applications have
been deposited using the Pyrosol method [356].
Organometallic precursors have also been used in the pyrosol process and there-
fore, this process can also be known as aerosol assisted metalorganic chemical vapour
Fig. 19. A schematic diagram of the ESAVD process.
132 K.L. Choy / Progress in Materials Science 48 (2003) 57170
deposition. For example, the epitaxial growth of bismuth garnet BiDyGaIG thin lms
at 500540

C using 0.03M gallium and iron acetylaectonates [Fe(C
5
H
7
O
2
)] bismuth
triphenyl [Bi(C
6
H
5
)
3
] and Dy(TMHD)
3
dissolved in butanol. The deposition rate
was 6 nm/min and the grain size is around 350 A

with a conventional annealing for 3


h at 650

C [357,358]. These lms have potential applications for magneto-optic
memory applications.
Although, this technique has been used to deposit thin lms successfully, it seems
to have a coating thickness limitation (about 1 mm) that restricts the range of
applications. Therefore, this technique can not be used for the deposition of thick
coatings for thermal barrier coatings or tribological applications.
12.7.2. Electrostatic assisted vapour deposition
Electrostatic Spray Assisted Vapour Deposition (ESAVD) [359] is a simple and
cost-eective deposition method based on the AACVD process. A detailed overview
of this emerging novel deposition technique for the synthesis of oxide and non-oxide
ceramic lms and powders have been presented by Choy [346,360,361].
12.7.2.1. Process principles. The deposition mechanism of the ESAVD process is
presented in Fig. 19. The ESAVD process can be considered as a variant of the CVD
process. It involves spraying atomised precursor droplets across an electric eld into
a heated environment where the charged droplets will undergo decomposition and
chemical reaction in the vapour phase. The chemical reactions can be tailored to
occur at dierent zones as follow in order to synthesise dense lms, porous coatings
or nanocrystalline powders:
(a) If the processing conditions are tailored such that the droplets undergo het-
erogeneous chemical reaction near the vicinity of the heated substrate (Zone
1), this produces a stable solid lm with excellent adhesion onto a substrate in
a single production run. [Note: Similar to Process III of the AACVD]
(b) Nanocrystalline powders can be produced by tailoring the chemical reaction to
occur in the gas phase (i.e. homogeneous gas phase reaction, Zone 2). The
powder can be collected using either thermophoretic method or an electrostatic
precipitator. (Note: Similar to Process IV of the AACVD).
(c) Porous lms can be deposited by tailoring a combination of homogeneous
and heterogeneous reactions to occur.
Fig. 20 (i)(iii) illustrate a typical example of various microstructure of materials
that can be produced using the ESAVD process by tailoring the appropriate che-
mical reactions to occur. This review article will focus on the ESAVD of lms and
coatings.
ESAVD is an atomistic deposition method, which can produce highly pure ma-
terials with structural control at the nanometer scale level at relatively low proces-
sing temperatures. The structure, stoichiometry, crystallinity and texture of lms can
be controlled by optimising the process parameters. The main process parameters
for the ESAVD of lms are deposition temperature, eld strength, stand-o distance
K.L. Choy / Progress in Materials Science 48 (2003) 57170 133
between the heated substrate and the precursor atomiser, and precursor ow rate,
size of the spray droplets. The spray pattern and droplet size as a function of process
parameters can be determined and monitored using a High Spec imager and
droplet size analyser (e.g. Malvern Matersizer), respectively. For the synthesis of
nanostructured lms, the deposition temperature was preferably below 550

C, the
eld strength was within the range of 425 kV, and the precursor ow rate varied
from 10 to 30 ml/h.
Fig. 20. Scanning electron micrographs of various microstructures of lms deposited using the ESAVD
process: (i) dense lms, (ii) porous lms; and (iii) multilayer coatings.
134 K.L. Choy / Progress in Materials Science 48 (2003) 57170
12.7.2.2. Advantages. In addition to the advantages of the AACVD, the ESAVD
method has the following added benets as compared to the conventional CVD
methods:
(a) it has high deposition eciency ( >90%) because the precursor is directed to
the substrate under the electric eld. Thus this minimises the loss of precursor
to the surrounding;
(b) it has a low consumption of chemical precursors, a relatively low concentration
of precursor is used (e.g. 0.05 M);
(c) the ESAVD based method does not involve the use of scrubber, and euent
gas handling system to remove any unreacted precursors or toxic by-products
because the starting materials are relatively more environmentally friendly
and only a small quantity (e.g. 0.05 M or less) is used and the process has a
higher precursor conversion and deposition eciency (>90%);
(d) it is a versatile technique which can produce thin or thick lms in the form of
single layer, multilayer and compositionally graded coatings, and composite
coatings. Adherent thick lms (e.g. >250 mm) can be easily deposited using the
ESAVD-based technique which is dicult to be achieved using the conventional
CVD and those variants that have been described earlier;
(e) highly pure materials can be produced at relatively low processing tempera-
tures (a fraction of their melting point). For example, cubic YSZ can be
deposited at 550

C;
(f) it is a one-step process without the need for further heat treatment; and
(g) it is a simple and exible technique which allows the incorporation of the
deposition techniques into on-line processing.
12.7.2.3. Applications. A wide range of thin and thick coatings with either a dense or
porous microstructure for structural and functional applications have been deposited
using the ESAVD method. The ESAVD method has been used successfully to deposit
simple oxides (e.g. TiO
2
, Al
2
O
3
, and SiO
2
, multicomponent oxides [e.g. PbTiO
3
,
BaZrO
3
, La(Sr)MnO
3
, YaBa
2
Cu
3
O
7-x
, CaOP
2
O
5
SiO
2
], doped oxides (e.g. Y
2
O
3

ZrO
2
, Eu:Y
2
O
3
), suldes and selenides (e.g. CdS, ZnS, CdSe), metallic (e.g. Pt, Pd, Ni)
as well as polymeric lms (e.g. polyvinylidene uoride) for both structural and func-
tional applications [361370]. These include reforming catalysts, solid oxide fuel cell
components, ceramic membranes for selective gas separation, thermal barrier coat-
ings, catalytic coatings, bioactive coatings, optical lms and ferroelectric lms for
sensors and memory devices. Both conducting and non-conducting substrates can be
used (e.g. metal, ceramic and plastics). Here are a few selected case studies to
illustrate the versatility of ESAVD for thin/thick lm deposition.
12.7.2.3.1. Thin films. For example, TiO
2
(anatase) is a promising material for
photoelectric applications, such as semiconducting electrodes in solar cells, gas sen-
sors and dielectric in memory cell capacitors. TiO
2
(anatase) thin lms have been
synthesised using titanium diisopropoxide bis(2,4-pentanedionate) in 2-propanol.
Transparent and dense nanocrystalline anatase TiO
2
(crystalline size 10 nm) lms
K.L. Choy / Progress in Materials Science 48 (2003) 57170 135
have been uniformly deposited at 450

C using a 0.05 M precursor solution. The
ESAVD deposited dense anatase lms exhibited good optical transmission over 370
nm wavelength.
Non oxide thin lms, such as ZnS have been deposited using the ESAVD method.
ZnS lms have potential applications in light emitting diodes and at panel electro
luminescent displays due to its wide band gap ( 3.8 eV) and excellent luminescence
property. Textured ZnS lms are mostly produced using vacuum deposition techni-
ques such as molecular beam epitaxy and pulsed laser deposition. The viability of
the ESAVD-based method to deposit textured ZnS thin lms onto amorphous sub-
strates (e.g. glass) has been demonstrated using a mixture of 0.01 M of stoichio-
metric ZnCl
2
and (NH
2
)CS aqueous precursor solution and deposited at 450 and
500

C using a deposition rate of about 0.1 mm/min [369]. The ZnS lms deposited at
450

C contained crystallites of very small grain size ( -20 nm). The 111 pole gure
analysis on ZnS deposited at 500

C (Fig. 21) provides clear evidence for the tex-
tured nature of the ZnS lms where the [111] is normal to the surface of the sample.
This is further supported from a TEM study which showed that the selected area
diraction pattern from the Moire fringes in Fig. 22 exhibited a diraction from
cubic [111] zone axis which conrms the cubic phase and [111] textured nature of the
lm [369]. Such unique texture formation may be due to the alignment of dipolar
units induced by the electric eld during the decomposition and restructuring of the
Fig. 21. 111 Pole gure of ZnS lm.
136 K.L. Choy / Progress in Materials Science 48 (2003) 57170
Fig. 22. Plan-view TEM image of a ZnS thin lm deposited at 500

C. The inset shows a selected area
diraction pattern from the textured ZnS lm.
Fig. 23. Cross-section scanning electron micrograph of a thick Y
2
O
3
ZrO
2
thermal barrier coating
deposited using the ESAVD process.
K.L. Choy / Progress in Materials Science 48 (2003) 57170 137
precursors in the deposition process which resulted in the preferred orientation or
texturing in the lms. Epitaxial ZnS lms have also been deposited on single crystal
substrates [370].
12.7.2.3.2. Thick films. In addition to thin lms, the ESAVD method can also be
used to deposit thick lms for example, thermal barrier coatings onto 3-D compo-
nents [e.g. turbine aerofoils] as it is a non-line-of-sight deposition technique. Fig. 23
shows an example of 250 mm thick 8wt% Y
2
O
3
ZrO
2
coating on a Ni-alloy sub-
strate deposited at 550

C using a mixture of alkoxide of zirconium and yttrium
precursors. The coating exhibits the desirable stress-strain columnar-like structure.
Such a thick coating can not be achieved using the conventional CVD method which
Fig. 24. Cross-section scanning electron micrograph of ESAVD of bilayer CGO/LSC coatings on an YSZ
substrate.
Table 8
The electrode/electrolyte interfacial resistance of various systems deposited using ESAVD onto YSZ
electrolyte substrates at 900

C
System R(900

C) cm
2
LSM/YSZ
a
1.48
LSC/YDC/YSZ 0.015
LSC/CGO/YSZ 0.012
YDC: (Y
2
O
3
)
0.15
(CeO
2
)
0.85
.
a
As compared to the resistivity of LSM prepared by the conventional slurry method which was 4
cm
2
[371].
138 K.L. Choy / Progress in Materials Science 48 (2003) 57170
tends to limit the deposition of YSZ lms to about 50 mm, and any thicker lms will
have rather poor coating adhesion. The established commercial method for the
deposition of TBCs are plasma spraying (for stationary turbine component) and the
very expensive electron beam physical vapour deposition (for rotating turbine com-
ponent, e.g turbine aerofoils). The thermal conductivity and thermal shock resis-
tance performance of the ESAVD produced TBCs are superior to those
manufactured by the established commercial methods.
Other ESAVD thick lm applications include those for solid oxide fuel cell (SOFC)
applications. A bilayer cathode layer consisting of dense Ce
0.8
Gd
0.2
O
0.19
(CGO) and
porous La
0.8
Sr
0.2
CoO
3
(LSC) lms for the solid oxide fuel cell applications have also
been deposited using the ESAVD method. The surface area, pore size and particle size
can be controlled by varying the process parameters [362]. Fig. 24 shows a cross-
section SEM of the CGO/LSC ( 17 mm thick for each layer) onto a YSZ substrate
using a mixture of metal alkoxide precursors at 450

C. The fracture cross-section
exhibited uniform and adherent bilayer coatings onto the substrate with no apparent
cracking or spalling of the lm. A range of graded and multilayer cathode materials
have been deposited that exhibited superior conductivity performance compared to
the conventional La
0.82
Sr
0.18
MnO
3
(LSM)/YSZ system and those produced using
the conventional preparation route such as the slurry method as shown in Table 8.
12.7.2.4. EAAJD. A variant of the ESAVD called Electrostatic Assisted Aerosol Jet
Deposition (EAAJD) has also been developed [372]. The EAAJD method not only
maintains the advantages of the ESAVD method, but also provides additional benets
such as a higher deposition eciency (>95%) and deposition rate (>0.2 mm/min),
and a wider choice of precursors (e.g. aqueous and non aqueous) than the ESAVD
method. Although the EAAJD has a similar deposition mechanism as ESAVD, the
main dierence between the ESAVD and the EAAJD method is that the aerosol
atomisation and electrostatic discharge functions in EAAJD are separated unlike
the ESAVD process. This allows a wider choice of precursors (both aqueous and
non aqueous precursor systems and also provides the above added advantages. For
examples, the EAAJD method has been used for the synthesis of dense CdS, and
porous SiO
2
lms [366,372].
12.7.2.5. Comparison of ESAVD-based methods with other electrospraying techni-
ques. Systematic studies of the behaviour of liquids in an electric eld has been
conducted by Taylor [348] in the 1960s. Electrostatic spraying has been used for
paint spraying in the car industry and insecticides spraying in the agricultural
industry. The use of electrostatic spraying for the processing of materials began only in
the 1980s. Dierent groups have adopted dierent technical names for the electro-
spraying-based processing as reviewed by Choy [346]. Each of the deposition processes
is dierent because of the nature of the chemical precursor used, the process conditions
and the design of the equipment, which give rise to dierent deposition mechanisms (i.e.
Process IIV) and thereby leads to products of dierent quality. Some of the more
successful techniques are proprietary and are the subjects of patents. Most of the
electrospray based processing methods have been used to produce
K.L. Choy / Progress in Materials Science 48 (2003) 57170 139
(i) ultrane powders. For examples corona spray pyrolysis [373], Electrostatic
Spray Pyrolysis [374]; or
(ii) quantum dot composites. For examples electrospray organometallic chemical
vapour deposition [375], gas-aerosol reactive electrostatic deposition
[376,377].
There are limited studies on the use of eletrospray based processing methods for
the deposition of uniform and adherent thin or thick lms with well controlled
microstructures. Reported work by Schoonman et al. [378,379] employed Electro-
static Spray Deposition for the deposition of LiCoO
2
and LiMnO
4
. The SEM
micrographs revealed particulate deposition rather than atomistic deposition, which
normally occurs in the CVD and PVD processes. This type of microstructure pro-
duced may be adequate for application as a cathode on rechargeable lithium. How-
ever, atomistic deposition is crucial for the deposition of nanophase materials or
uniform, dense and reproducible thin lms. Therefore, the chemistry of the pre-
cursors and the process conditions need to be tailored to facilitate deposition
through Process III (i.e. heterogeneous CVD reaction).
At Imperial College, there was some work initiated in the late 1980s [380] to
employ the corona spray pyrolysis for the electrospraying of a metalorganic com-
pound onto a heated tube to generate ionised vapour that was transported into a
CVD reactor where the decomposition and chemical reaction occur to deposit
semiconducting materials for the manufacture of integrated circuits. This process
still involved the use of a vapour precursor delivery system, enclosed reactor and a
special euent gas handling system. Subsequently, there was an eort developed by
Choy and her team to employ a simpler and cost-eective ESAVD-based methods for
the synthesis of thin/thick lms and nanocrystalline powders as reviewed in the above.
12.8. Flame assisted chemical vapour deposition
Flame assisted chemical vapour deposition (FACVD) is another variant of CVD.
This process involves the combustion of liquid or gaseous precursors injected/delivered
into diused or premixed ames where the liquid precursor will decompose/vaporise
and undergo chemical reaction and/or combustion in the ame. The ame source and
the combustion process provide the required thermal environment for vaporisation,
decomposition, and chemical reaction. The ame source also helps to heat the substrate
to enhance the diusion and surface mobility of the absorbed adatoms on the substrate
surface during the deposition of lms. Therefore, it can be dierentiated from the
conventional CVDin terms of the way the liquid precursor is being vapourised, and the
much shorter time taken for the vaporisation, decomposition and chemical reactions to
occur than the conventional CVD. The FACVDmethod can also be distinguished from
the thermal spraying method and its variants such as plasma spraying which involve
the use of solid powders as starting materials, and the high energy thermal source
such as hydrogen fuel or plasma to melt the solid precursor powder into a molten or
semi-molten state before being sprayed onto water cooled substrates to form the coat-
ings with a splat-like structure that normally contains micropores and microcracks.
140 K.L. Choy / Progress in Materials Science 48 (2003) 57170
The fuel for the FACVD process can be hydrogen or a hydrocarbon. The use of a
hydrocarbon often leads to the formation of soot, whereas combustion of hydrogen
is a faster process than using hydrocarbon and it does not produce condensed spe-
cies. The ame temperature is usually very high, typically 17272727

C, which often
causes the homogeneous gas phase reaction to occur leading to the deposition of
powders Therefore, the FACVD is widely used commercially for the production of
powder. For the deposition of lms, the ame temperature is required to be reduced
signicantly by varying the ratio of precursor to fuel.
The main process parameters that can be optimised in order to control the crystal
structure, morphology and particle size are ame temperature and its distribution,
choice of precursors and its residence time in the ame, ratio of precursor to fuel.
Additives can also be introduced into the ame to alter the size, phase and shape of
the products [381,382].
The advantages of the FACVD method is that the high ame temperatures allow:
(i) the use of volatile as well as less volatile chemical precursors to form a che-
mical vapour. Therefore, it is a true CVD process and possesses the non-line-
of sight-capability for the deposition of coatings onto non planar substrates;
(ii) the formation of the reaction product in a single step without post-processing
such as calcination;
(iii) the rapid mixing of reactants on a molecular scale, thus reducing the proces-
sing time signicantly, and enable a better control of the stoichiometry of the
multicomponent lms as compared to conventional CVD and PVD methods;
(iv) the vaporisation, decomposition and chemical reactions to occur rapidly
leading to a high deposition rate; and
(v) the relatively low cost compared to the conventional CVD and PVD methods
as the FACVD process can be performed in an open atmosphere for the
deposition of oxide coatings without the need for a sophisticated reactor or
vacuum system.
The main drawback of the FACVD method is the large temperature uctuation of
the ame source during deposition due to the large temperature gradient present in
the ame. As a result of such limitations, the FACVD is not widely used for the
deposition of uniform thin lms or adherent thick lms. However, it is more com-
monly used commercially for the production of micron or sub-micron size powders
by tailoring the homogeneous gas phase reactions.
The advantages of using FACVD or also known as combustion ame synthesis
has long been recognised by the oxide powder industry as the most cost-eective and
commercial viable ways of producing large quantity powders (e.g. tens of thousands
of tons) of TiO
2
and SiO
2
using metal chloride precursors in hydrocarbon ames
[383]. Powders produced using this method have been used as starting materials for
the fabrication of advanced engineering ceramics for both structural (e.g. rocket
engines and combustors) and functional (e.g. capacitors, piezoelectric devices, ther-
mistors, catalyst and solar cells) applications [384,385]. Eorts were made to mini-
mise the instability of the ame temperature by developing specially design burners
K.L. Choy / Progress in Materials Science 48 (2003) 57170 141
such as the counterow ame burner, to produce a very at and uniform ame in
the horizontal plane [386,387] or a reduced-pressure at ame burner [388]. Such
burners allow better control over the microstructure, particle size and its distribu-
tion leading to the fabrication of nanocrystalline powders which are dicult to produce
using the conventional FACVD method. Such modications have led to the develop-
ment of variants of FACVD known as counterow diusion ame synthesis, combus-
tion ame chemical vapour condensation. The use of FACVD and its variants to
synthesise ultrane or nanocrystalline powders has been reviewed in reference [2].
12.8.1. Flame-assisted vapour deposition
Although the FACVD method may be dicult to deposit uniform dense thin lms
especially on large areas due to the large temperature uctuation, it can be used to
produce adherent porous coatings by tailoring a combination of homogenous and
heterogeneous reactions to occur. This has been demonstrated by Choy et al. [389]
using the Flame-assisted Vapour Deposition (FAVD) method, a FACVD-based
process, for the deposition of porous La(Sr)MnO
3
coatings with well controlled
stoichiometry as a cathode material for solid oxide fuel cell applications, and Ni
Al
2
O
3
based reforming catalysts. The FAVD method combines spray pyrolysis and
ame synthesis techniques. The precursors solution contains a combustible solvent
Fig. 25. A schematic diagram of the FAVD apparatus.
142 K.L. Choy / Progress in Materials Science 48 (2003) 57170
such as alcohol. During deposition, the precursor solution is atomised and propelled
by compressed air into a naked ame from a ame source. The process uses the
energy provided by the ame source and the combustion of the solvent to oxidise the
precursor species, which are then deposited onto the substrates. Fig. 25 shows a
schematic diagram of a FAVD apparatus. NiAl
2
O
3
based reforming catalysts
(100 mm thick) have been produced using FAVD at a deposition rate of 10 mm/
min. These catalysts were found to have suciently high porosity with a large sur-
face area of 0.85 m
2
/g which is required for the internal reforming of high-methane-
containing natural gas to fuel gas. Elemental mapping of Ni also showed a uniform
distribution of Ni over the surface of the catalyst, and the required chemical phase in
the coating was obtained in a single-step deposition. The catalysts were found to
have a very high activity, capable of delivering 10 kW/m
2
in the compact reformer.
The catalysts were suciently robust to withstand aking and delamination during
prolong exposure to the simulated elevated operating temperatures of molten carbonate
fuel cells at 650

C [390].
FAVD has also been used to manufacture improved cathode/electrolyte systems
for solid oxide fuel cell applications [389]. The FAVD technique has been shown to
be capable of depositing both dense and porous lms of the cathode/electrolyte
systems cost-eectively as compared to CVD, PVD or conventional ceramic pro-
cessing routes. A bilayer consisting of porous La
0.8
Sr
0.2
CoO
3
(LSC, 10 mm thick)/
Ce
0.8
Gd
0.2
O
0.19
(CGO, 1 mm thick) on a Y
2
O
3
ZrO
3
(YSZ) substrate has been
deposited using the FAVD technique. Table 9 summarises the electrode/electrolyte
interfacial resistance of various FAVD produced systems at dierent test temperatures
[389]. The interfacial resistance has been improved with an interlayer of a dense
electrolyte, [e.g. (Y
2
O
3
)
0.15
(CeO
2
)
0.85
(YDC) and CGO], followed by a thick porous
layer (40% porosity) of La
0.82
Sr
0.18
MnO
3
(LSM) or LSC. LSC which has a higher
electronic conductivity and superior electrocatalytic activity than LSM but it is more
reactive with YSZ than LSM. However, the presence of FAVD deposited interlayers,
allows the use of a LSC cathode with a YSZ electrolyte, instead of the conventional
LSM, which lowered the interfacial resistance two-fold.
12.8.2. Combustion chemical vapour deposition
Hunt et al. [391,392] has developed a method called Combustion Chemical
Vapour Deposition (CCVD) which is a FACVD-based method that combines the
formation of submicron droplets using a specially design atomiser (Nanomiser
TM
)
and ame synthesis to deposit thin lms. In this process, the chemical precursors are
Table 9
The electrode/electrolyte interfacial resistance of various systems at dierent temperatures
System R (800

C) cm
2
R (900

C) cm
2
LSM/YSZ 7.61 3.43
LSM/YDC/YSZ 2.49 3.47
LSC/YDC/YSZ 0.038 0.015
LSC/CGO/YSZ 0.044 0.014
K.L. Choy / Progress in Materials Science 48 (2003) 57170 143
dissolved in an organic/combustible solvent. The resultant solution is atomised using
Nanomiser
TM
into submicron droplets, which are subsequently carried using an
oxidising gas into a ame where they undergo combustion and pyrolysis to deposit a
thin lm onto a substrate.
The CCVD process has some similar features to the ame synthesis of diamond
but the CCVD process has been patented for applications other than diamond
coatings. The uctuation of ame temperature which hinder the thin lm deposition
has been minimised using a constant temperature monitoring and a computer feed-
back loop to adjust the ow of oxidising gas and precursor ow, etc., to stabilise the
temperature [393]. Optical emission spectroscopy and ame pyrometry [394] have
been used to investigate the relative emitting species in the ame and hence the
relative concentration of short-lived, reactive species in a hydrocarbon ame and
their spatial distribution. UV-VIS spectroscopy has been used to study the precursor
pyrolysis.
The use of CCVD for the deposition of thick lms has not been demonstrated.
However, the potential of CCVD in depositing thin lms, mostly oxide thin lms
[e.g. SiO
2
, Ba(Sr)TiO
3
, YSZ, LSC, PLZT, etc.], as well as certain metallic lms (e.g.
Pt), which are less oxygen sensitive, have been demonstrated for applications such as
electronic [391], catalytic [392], optical and corrosion and oxidation resistant coat-
ings [395]. A range of materials such as metal, ceramics and certain plastics (e.g.
Teon) can be used as substrates. This process can also be used to deposit epitaxial
SrTiO
3
lm (e.g. 380 nm thick) on MgO single crystal. The pole gure obtained from
X-ray dractometry analysis shows that the full-width at half-maximum (FWHM)
values of the (100) and (200) planes are 2.020

0.004

, and 1.67

0.01

, respec-
tively [394]. The CCVD method has also been used to deposit thin lms onto non-
planar substrates. For example, lanthanum phosphate has been deposited using
CCVD onto NEXTEL alumina bres at 900

C as a potential coating system for
protection in ceramic matrix composites. All bres were coated, with more than
50% of the bres coated with 300500nm thick lanthanum phosphate [391].
12.9. Electrochemical vapour deposition
Electrochemical vapour deposition (EVD) is another variant of the CVD process. It
is used to deposit dense ion or electron-conducting oxide lms onto porous electrodes
at elevated temperatures (e.g. 10004T-1327

C) and reduced pressures (below 1
kPa). It is was rst developed by Isenberg at Westinghouse in the mid seventies for
the fabrication of gas tight components (e.g. electrolyte and interconnection mate-
rials) in the Solid Oxide Fuel Cell (SOFC) technology in order to inhibit the cross-
leakage of oxidant and fuel gases [396]. Such deposition is dicult to be achieved
using other vapour deposition methods, including conventional CVD and PVD
methods. This is because in the conventional methods, the vapour approaching from
one side of the substrate will inltrate through the porous substrates, and it is
extremely dicult to deposit pin-hole free and uniform lms onto porous substrates.
EVD is currently the key processing technique for the fabrication of seamless tubular
solid oxide fuel cell (SOFC) technology and has been reviewed in [397,398].
144 K.L. Choy / Progress in Materials Science 48 (2003) 57170
12.9.1. Process principle
The formation of a dense layer in the EVD process occurs in two stages as illu-
strated in Fig. 26 (adapted from Ref. [399]). In stage 1, the closure of pores of the
porous substrates is achieved by the direct reaction of metal source reactants,
usually metal chloride, for example MeCl
2
(where Me is the cation species) with
oxygen source reactant such as water vapour, as shown in Eq. (1) in Fig. 26. Other
oxygen sources such as NiO have also been used [400]. The reactants are delivered to
the opposite side of the porous substrate and they diuse into the substrate pores.
The open porosity acts as a reaction site for the oxide deposition. The oxide depos-
Fig. 26. A schematic diagram of the EVD process.
K.L. Choy / Progress in Materials Science 48 (2003) 57170 145
ited by the CVD will eventually close the pores, and prevent any further direct con-
tact and reaction of the reactants.
In Stage 2, the growth mechanism is electrochemical in nature. The growth of the
oxide lms over the closed pores occurs by solid state diusion of oxygen ions due to
the presence of a large oxygen activity gradient across the deposited lm. The
reduction of H
2
O [Eq. (2) in Fig. 26] at the water vapour side produces oxygen ions.
Subsequently, the oxygen ions diuse through the oxide lm to the metal chloride
side and react with the metal chloride to form the oxide on the growing oxide layer
according to Eq. (3) in Fig. 26.
During the EVD process, the ion-conducting or electron conducting oxide exhibits
both oxygen ion and electron conductivity. Hence, the ux of oxygen ions is balanced
by the counter diusion of electron ux and thus preserve the electro-neutrality of the
oxide lms during growth.
12.9.2. Kinetics and growth
There are several studies on the kinetics and growth characteristic of the EVD
process for example references [401404]. The pore closure step is vital and it dic-
tates the ultimate properties of the EVD produced lms. Investigations performed
on the penetration of YSZ lms into the porous substrate during the pore closure
stage of the EVD of stabilised ZrO
2
showed that the kinetics in this stage 1 could be
modelled as Knudsen diusion and heterogeneous reaction in a one-dimensional
pore [401]. The rate of reaction was rst order in the metal chloride and zero order
in water vapour. Since the concentration and reaction rate of metal chloride are
highest at the metal chloride side, the pore closure always occurs at the metal chloride
side of the porous substrate.
The pore closure can be determined using a dimensionless Thiele modulus, F, in Eq.
(10), where, L=thickness of the substrate, k=reaction rate constant, D=eective dif-
fusivity of the metal chloride, and r=pore radius [397,401].
F =
2L
2
k
Dr
(10)
The pore closure time can be shortened and narrowing of the pore can be pre-
vented by having a high F value. This can be achieved by increasing the reaction
rate constant, k, and/or by reducing the pore size by reducing the diusivity of the
metal chloride through the porous substrate (e.g. by decreasing the pore diameter).
There are several possible limiting factors during the growth of the oxide lms
(stage 2) in the EVD process. These include
(i) surface kinetics on either side of the oxide lm
(ii) gas diusion through the pores of the substrate
(iii) solid state diusion in the growing oxide lm
when the rate limited steps are surface kinetics and gas diusion through the pores
of the substrate, a linear growth characteristic is expected [402]. Whereas, a para-
146 K.L. Choy / Progress in Materials Science 48 (2003) 57170
bolic growth behaviour is exhibited in growth limited by solid state diusion of
charge species in the growing oxide lm. This is similar to the Wagner oxidation of
metals [405], and the thickness of the lm, L, can be determined using the Eq. (11):
L = 2k
2
t (11)
where k
2
is the parabolic rate constant which can be derived using the Wagner oxi-
dation process model, and t is the deposition time. In the growth of solid elelctrolyte
(e.g. YSZ), the charged species are electrons that diuse through the oxide. For the
growth of interconnect materials (e.g. LaCrO
3
), the charged species are oxygen ions
that diuse through oxygen vacancies. The growth of the oxide lm is self-levelling
(growth is fastest where the scale is thinnest), resulting in uniform scale thickness.
Sasaki et al. [406] had investigated the reaction mechanism of EVD YSZ lms.
They found that the deposition rate was proportional to the lm thickness, partial
pressure of reactants, reaction temperature and the electronic conductivity of YSZ
lm as shown in Eq. (12):
Deposition rate o L
2,3
p
1,3
ZrCl
4
,YCl
3
TC [ ]
2,3
(12)
where L=lm thickness, p
ZrCl
4
,YCl
3
=partial pressure of ZrCl
4
/YCl
3
, C=electrical
conductivity, T=temperature. If the lm thickness is very small, the deposition rate
is thought to be controlled by the surface reaction step. On the other hand, if large,
the electron transport step is rate controlling.
The growth behaviour of yttria-stabilized zirconia (YSZ) thin lms formed by
EVD using NiO as an oxygen source might be dierent to those using water vapour.
According to Inaba et al. [407], the rate-determining step of the process is not the elec-
trochemical transportation of the oxide ions and electrons through the growing lm,
but the mass transport of oxygen gas, which is dissociated from the NiO substrate,
through the substrate pores to the NiO/YSZ interface.
The microstructure of the EVD lms is strongly inuenced by the deposition
temperature. In general, smooth, dense, uniform and gas-tight lms with no pre-
ferred orientation can be obtained at high temperatures. Whereas low deposition
temperatures yielded lms with rough and faceted surface morphology, the lms
may not be gas-tight [398,401].
12.9.3. Comparison of CVD and EVD processes
Based upon the above description of the EVD process and its characteristics, it is
obvious that the EVD process can be dierentiated from the conventional CVD
process as follows:
(i) the metal and oxygen source precursors in the EVD process are introduced to
opposite sides of the porous substrate, and separated by the reaction product,
whereas all reactants are introduced to the same side of the substrate in the
CVD process.
K.L. Choy / Progress in Materials Science 48 (2003) 57170 147
(ii) the deposited materials must possess some ionic and electronic conductivity
in order for the growth of gas tight lms to proceed. Such feature is not
present in the CVD process. Therefore, CVD has diculty in depositing
dense and gas-tight lms onto porous substrates.
EVD process can also be dierentiated from Chemical Vapour Inltration (CVI),
which is a modied CVD process to manufacture carboncarbon composites or
ceramic matrix composites by inltrating the porous bre preform with dense cera-
mic matrix. In the CVI process, the gaseous reactants are introduced and inltrated
into the same side of the bre preform to form the dense matrix at high temperatures
(above 1000

C) (see Section 12.10). The bre preforms do not possess the required
ionic and electronic conductivity as the gas-tight material is not required in the
deposited dense matrix.
12.9.4. Advantages
The advantages of EVD over other processing techniques for the fabrication of
dense and gastight materials onto porous substrates can be summarised as follows:
(i) EVD is a deposition technology that enables thinner electrolyte lms to be
deposited which can reduce the ohmic resistance, and miniaturise the manu-
facture of solid state electrochemical devices (e.g. devices with dense and
gastight electrolyte thin lm for gas separation) as compared to other tech-
niques such as plasma spraying. Moreover the atomistic deposition nature of
the EVD process enables the precise control of the microstructure which is
essential to control the properties and performance of the devices.
(ii) It can be used to produce dense lms onto curved surfaces, e.g. tubular con-
guration, which can not be achieved using cheaper alternatives such as
screen printing and tape casting.
(iii) Refractory and oxide materials can be deposited at a fraction of their melting
temperatures (41200

C), unlike screen printing and tape casting which
require a high sintering temperature (51400

C) that can cause undesirable
interfacial reactions between the electrode and electrolyte, and deteriorate the
properties of the fuel cells.
(iv) Although PVD methods such as RF-sputtering has been used at the R&D, it
is a line-of sight process, and is has diculty to deposit gas-tight electrolyte
lms onto tubular porous tubes.
12.9.5. Technological status
EVD is the state-of-the-art manufacturing method for the solid electrolyte and
interconnect materials in solid oxide fuel cells as a clean and ecient energy gen-
eration method. The EVD process has been scaled up for automated large scale
manufacturing which can accommodate large process batches, as many as 60 cells
with each cell upto 100 cm in length [408]. This process has been successfully used to
manufacture solid oxide fuel cells for multi-kilowatts generators.
148 K.L. Choy / Progress in Materials Science 48 (2003) 57170
The EVD process uses sophisticated reactor and expensive vacuum pumping sys-
tem. Therefore the cost of an EVD reactor is very expensive, the above commercial
reactor capable of handling the production of 60 cells would cost in the order of 20
million US dollars. In order for the SOFC technology to be able to compete with
other forms of energies, the cost of production need to be lowered. This might be
able to be achieved through R&D that explores the feasibility of operating the EVD
process at atmospheric pressure, simplifying the reactor and/or minimising the
number of EVD steps used in the manufacturing process. The latter has been
adopted by Westinghouse who developed the latest generation of cells using air
electrode supported-solid oxide fuel cell (AES-SOFC) rather than the previous zir-
conia porous support tube (PST) design. The number of EVD steps used in the
manufacture of AES-SOFCs has been reduced from three to two in production and
from three to one in the laboratory resulting in signicant manufacturing cost
reductions. Moreover the AES-SOFC have been reported to show substantial
improved performance and reliability over the previous PST design [409].
Without compromising the quality of the deposited materials, lowering the cost of
EVD process, will widen the scope of the applications of the EVD process, e.g. the
fabrication of dense and gastight thin lms of ionic conducting lms onto porous
supports for gas separation (e.g. separation of oxygen from air).
12.9.6. Other variants
Tang and Etsell [410] have developed a modied form of CVD and EVD called
polarized electrochemical vapour deposition (PEVD) for depositing thin ionic con-
ducting lms. PEVD utilises a d.c. bias potential to create an electrochemical
potential dierence inside an ionic conductor under a polarized condition. The solid
state ionic material is used to transport the reacting species, and the electrochemical
reaction of the ionic species with the vapour phase (i.e. gas electrode reactions) is uti-
lised for deposition of new phases at the surface. This method has been reported to be
able to provide possible close control over the entire PEVD process. The process has
been used to deposit a NaNO
3
auxiliary phase for a potentiometric NO
2
sensor.
The microstructure of PEVD products have been investigated [411] and was found
to be related to the thermodynamic considerations for the electrochemical reaction
in PEVD and electrical properties of the product phase. Preferred growth and a
faceted structure oriented along the porous Pt electrode surface were found. Such a
microstructure is due to the availability of the reacting species for the electro-
chemical reactions, and the preferred crystallographic direction for ionic conduction in
the product phase during both crystal nucleation and growth stages. The micro-
structural studies indicate that PEVD has the potential to improve the solid electrolyte/
electrode contact in solid state ionics devices, such as sensors and fuel cells.
12.10. Chemical vapour inltration (CVI)
CVI is a variant of the CVD process, which is used to manufacture matrix mate-
rial of bre reinforced ceramic composites. During the CVI process, the gaseous
reactants have to diuse and inltrate through the porous structures where the gas-
K.L. Choy / Progress in Materials Science 48 (2003) 57170 149
eous reactants undergo decomposition and chemical reactions to deposit the matrix
material on the surface of the bres in the preform. The by-products and the
unreacted reactants have to be diused out of the bre preform unlike in the CVD
process. Therefore the kinetics of the CVI process are dierent from that of CVD
despite the fact that they have the same thermodynamics and chemistry. During
CVI, the process conditions are tailored such that the deposition process occurs in the
kinetically limited low temperature regime in order to obtain maximum inltration
and densication of the composites [412].
12.10.1. Applications
The CVI process was rst developed in 1962 to densify porous graphite preforms
by inltration within a carbon matrix [413]. Since then, the method has been devel-
oped and used commercially for the manufacture of about 50% of the carboncar-
bon composites. The rest are manufactured by curing bre preforms impregnated
with polymer. The CVI method has also been used to manufacture ceramic bre
reinforced ceramic matrix composites (CMCs) e.g. SiC
bre
(e.g.Nicalon)/SiC
matrix
,
carbon/SiC
matrix
and Nicalon/Si
3
N
4matrix
to provide high strength (400 MPa),
fracture toughness ( >10 MPa m
1/2
), corrosion and erosion resistance, high tem-
perature structural material for reusable space vehicle, re-entry nose cones, heat
exchangers and aircraft brake applications. As a result of the limited availability and
high fabrication cost, most of the applications of CVI are currently limited to high-
value products in aerospace where technology can out weight the production cost.
However, as the CVI technology matures with lower cost of fabrication and bres,
together with a greater choice of bre, matrix and geometry of bre preforms, this
will open the market for CVI products.
Fig. 27. A schematic diagram of the isothermal CVI process.
150 K.L. Choy / Progress in Materials Science 48 (2003) 57170
The continuous bre reinforced ceramic matrix composites are of great commer-
cial interest because high strength bres can be aligned in the high stress directions
[414]. The key advantage of CVI in fabricating carboncarbon composites and
CMCs over other competing sintering and densication (e.g. hot pressing, hot iso-
static pressing) processes is relatively low processing temperatures (i.e. well below
the melting point or sintering temperature of the matrix material) and does not
involve high pressure. The CVI process is normally carried out at atmospheric or low
pressure. Therefore, there are very little thermal, chemical or mechanical damages to
the fragile reinforcing bres as compared to the conventional densication and hot
pressing methods. There are several review articles on CVI [415,416].
12.10.2. Methodology
The main CVI systems can be classied as follows
(i) isothermal
(ii) temperature gradients, and/or
(iii) temperature and pressure gradients
12.10.2.1. Isothermal. During the isothermal CVI process, the bre preform is
radiantly heated by an inductively heated susceptor and the deposition environment
has uniform temperature distribution. The reactant gases are diused into the
brous preform as shown in Fig. 27. The CVI process is carried out under isobaric
condition either at atmospheric or reduced pressure.
During the isothermal CVI process, the deposition temperature and reactant
concentration are kept low such that the deposition rate is restricted in order to
prevent the sealing of the exterior surface before the interior of the bre preform has
Fig. 28. A schematic diagram of the CVI process using the temperature gradient approach.
K.L. Choy / Progress in Materials Science 48 (2003) 57170 151
been densied. However, the concentration of gaseous reactants still tends to be
higher towards the exterior surface of the preform, so as to form a layer of deposit
which can inhibit further inltration. Therefore, the process often needs to be inter-
rupted periodically to remove the outer layer of deposit by machining in order to
open diusion passages for precursor to diuse into the interior preform for densi-
cation. In general, the densication of this process is rather slow (several weeks)
and dicult to form thick wall composites.
Despite the above limitation, CVI is a commercially viable method for the fabri-
cation of thin wall composites and can accommodate a large number of parts to be
inltrated in a large furnace. It is simpler and more economical compared to CVI
processes that employ temperature gradients, and/or temperature and pressure gra-
dients. The isothermal CVI process is being employed commercially by companies
such as SEP (France) and DuPont (USA) to manufacture SiC matrix with carbon
bre or Nicalon bre reinforcements. Methyltrichlorosilane CH
3
SiCl
3
is normally
used as the precursor for SiC inltration because the Si:C=1:1 ratio in the precursor
promotes the deposition of stoichiometric SiC. The deposition process is performed
at high temperature (typically 10001200

C) and at reduced pressure in a vacuum
chamber (typically 110 kPa) containing preforms that are positioned on graphite
shelving.
12.10.2.2. Temperature gradients. The bre preform to be inltrated is supported by
an inductively heated mandrel. The matrix is rst deposited on the surface that is
directly in contact with the mandrel. The deposition process progresses radially
through the bre preform as the densied preform itself becomes more conductive
Fig. 29. A schematic diagram of the CVI process using both temperature and pressure gradients.
152 K.L. Choy / Progress in Materials Science 48 (2003) 57170
and inductively heated as shown in Fig. 28. Therefore, it can avoid sealing of the
entrance surface and prevent surface crusting and any machining because the
deposition rate is higher near the mandrel (hottest region) and the outer surface of
the bre preform (coolest region) received little or no deposit. Thus, this helps to
reduce the inltration and improve the processing eciency. The inltration process
is normally performed at atmospheric pressure with the mandrel heated to
1100

C.
12.10.2.3. Temperature and pressure gradients. This method has been developed at
the Oak Ridge National Lab, USA and it is at the R&D stage [416,417]. A schematic
diagram of this process is shown in Fig. 29 (adapted from [416]). This process can be
summarised as follows:
(i) the bre preforms are contained within a graphite holder that is in contact
with a water cooled metal gas distributor to allow cooling of the gas inlet and
side surfaces of the preform. The opposite end of the preform is exposed to
the hot zone of the furnace. A steep temperature gradient is thus created
across the bre preform.
(ii) the reactant gases are delivered under pressure (2 MPa) into the cooled side of
the preform, and continue to the hot portion of the preform in the reactor,
where the reactants undergo decomposition and chemical reaction to deposit
the matrix material. Such deposition increases the density of the hot region of
the preform and hence its thermal conductivity. This facilitates the deposition
zone to move progressively from the hotter regions towards the cooler regions.
This approach reduced the inltration time signicantly from weeks to less than
24 h, and it is suited for the fabrication of thick walled composites of relatively
simple shapes. It also allows a higher bre loading of the composites because mod-
erate pressure can be applied to the graphite holder to compress the preform. The
brous materias can be held in place by a graphite holder without the use of binders
that need to be removed later.
12.10.3. Various CVI processes
CVI can be classied further according to the method of transport of gaseous
precursors into the bre preforms (via diusion or forced ow), and whether the
thermal gradient is used in the deposition as shown in Fig. 30 (adapted from Ref.
[418]). Most of the commercial CVI systems are based on the more economical iso-
thermal (Type A) approach. The details of the commercial systems remain proprie-
tary. The thermal gradient and/or thermal and pressure gradient systems are still at
the R&D stage.
Generally, most of the bres are pre-coated with a thin pyrolytic carbon prior to
inltration with matrix material to control the brematrix interface in order to
prevent strong interfacial bonding and low exure strength and brittle fracture [419].
The CVD of carbon deposition conditions have been selected to favour the deposi-
K.L. Choy / Progress in Materials Science 48 (2003) 57170 153
tion of graphitic coating with a lamella structure that lies parallel to the bres [420].
Such coatings have shown to improve the handlebility of bre, prevent chemical
and mechanical damage to the bres during CVI processing, enhance bre
debonding and slip, and hence the toughness and strength of the composite materi-
als [421].
Fig. 30. Classication of various CVI processes.
154 K.L. Choy / Progress in Materials Science 48 (2003) 57170
Table 10
Comparison of dierent variants of CVD
Variants of CVD Special features Distinctive advantages
Plasma enhanced CVD + Uses plasma to ionise and
dissociate gases as well as to
provide a substrate heating source
+ Lower deposition temperature and
enhanced deposition rate
+ Requires vacuum and more
complex reactor for the generation
of plasma
+ Enables the deposition of a wider
choice of lms (e.g. diamond, cubic
BN, etc.) that are dicult to be
synthesised using conventional
CVD or PVD techniques.
Photo-assisted CVD Uses light as heating source (e.g.
arc lamp, CO
2
lasers, Nd-YAG
+ Lower deposition temperature and
enhanced deposition rate
lasers, excimer lasers and argon
ion lasers)
+ Enables localised deposition or
selected area deposition
+ Avoids lm damage because of
low excitation energies
(typically-5 eV)
Atomic layer epitaxy Uses thermal/light source + Controlled growth of epitaxial lms
+ Monoatomic layer deposition
Electrochemical vapour
deposition (EVD)
+ Involves a two-stage process:
1. pore closure by CVD,
+ Enables the deposition of dense
ionic or electronic conducting
2. oxide scale growth by EVD, where oxide lms onto porous substrates
that cannot be achieved using
conventional CVD and other

Metal and oxygen source precursors


are introduced to opposite sides of the
porous substrate and separated by the
reaction product
vapour processing techniques

deposited materials must possess


some ionic and electronic conductivity
in order for the growth of gas tight
lm to proceed
Metalorganic CVD (MOCVD) Uses metalorganic as precursor source + Lower deposition temperature
Pulsed Injection MOCVD Uses single metalorganic liquid
precursor source and special injector/
evaporator for the generation of vapour
precursor
+ Fast evaporation of the precursor
and a shorter delivery time of the
vapour precursor to the reaction
zone, and higher growth rate (mm/s)
+ Precise control of the coating
thickness, stoichiometry and
growth rate for the synthesis of
superlattice and multilayer thin
lms with complex stacking at
the nm scale level
Flame assisted CVD + Uses ame as heating source + Low cost
(e.g. FAVD and CCVD) + Performs in an open atmosphere
for oxide deposition
+ Fast evaporation of the precursor
and a shorter delivery time of the
vapour precursor to the reaction
zone, and higher growth rate (mm/s)
(continued on next page)
K.L. Choy / Progress in Materials Science 48 (2003) 57170 155
A subsequent CVD of protective coatings is often required on the CVI fabricated
carboncarbon composites (see Section 12.1) in order to improve the oxidation and
thermo-mechanical properties of the composites, especially carboncarbon composites.
13. Comparison of various CVD methods
The conventional CVD process based on thermally activated CVD uses inorganic
precursor sources. The deposition process is initiated by thermal energy and occurs
at atmospheric pressure, low pressure or ultrahigh vacuum. The deposition often
requires relatively high temperatures (typically 5001400

C) depending on the type
of inorganic precursor (e.g. halides, hydrides, etc.) used. Other variants of CVD (e.g.
plasma enhanced CVD, photo-assisted CVD, atomic layer epitaxy, electrochemical
vapour deposition, metalorganic CVD, pulsed injection MOCVD) have been devel-
oped. In addition, emerging low cost, non vacuum CVD-based techniques (e.g.
aerosol assisted CVD and ame assisted CVD) have the potential to be scaled up for
large area or mass production. Most of these variants can also be performed at
atmospheric pressure or reduced pressure. However, plasma enhanced CVD needs
to be performed at low pressure (typically 1.31333 Pa) to generate the plasma.
Table 10 provides a summary of these dierent variants of CVD for the deposition
of lms, their special features which is dierent to the thermally activated CVD, and
their distinctive advantages which provide the motivation for their development.
Table 10 (continued)
Variants of CVD Special features Distinctive advantages
Aerosol assisted CVD e.g. + Performs in an open atmosphere
for oxide deposition
+ Generates aerosol precursor using
Fast evaporation of the precursor
and relatively a shorter delivery
time of the vapour precursor to the
reaction zone, and higher
deposition rate

low cost
+ Pyrosol

Ultrasonic generator

thin or thick lms
+ ESAVD/EAAJD

Electrostatic spraying or electrostatic
aerosol generator

cost-eective

High deposition eciency


Chemical vapour
inltration
+ Involves the diusion and inltration
of gaseous reactants through the porous
bre preform where the gaseous reactants
undergo decomposition and chemical
reaction to depositthe ceramic matrix
material on the surface of the bres in
the preform
+ Enables the densication of
porous ceramic preform with
little thermal, chemical or
mechanical damage to the
fragile reinforcing bres as
compared to the conventional
densication and hot pressing
methods
+ A commercial method for the
manufacturing of ceramic matrix
material of ceramic bre reinforced
composites
156 K.L. Choy / Progress in Materials Science 48 (2003) 57170
Although there are variants of CVD processes, the criteria for the selection of an
appropriate CVD coating process for a specic engineering application of the surface
coating method should:
(a) not aect or impair the properties of the bulk materials;
(b) be capable of depositing the required type of coating and thickness;
(c) be capable of coating the engineering components uniformly with respect to
both size and shape; and
(d) be cost-eective in terms of costs of the substrate, coating material, coating
technique, and minimised equipment down time and improved the quality of
the coated end-product.
14. Comparison of CVD with other coating techniques
Various CVD techniques have been reviewed and examined, their advantages and
coating applications. It is worthwhile to briey compare CVD with other vapour
deposition techniques such as physical vapour deposition (PVD), as well as other
non vapour deposition routes, in order to realise the signicance of CVD and their
scientic and technological niche markets.
There are various ways of producing coatings and lms. These include wet/semi-
molten and vapour processing routes. Vapour processing routes seem to be the only
processing route which is capable of producing highly pure coatings with structural
control at the atomic level or nanometer level and at low processing temperatures,
which is important, especially in the microelectronics and opto-electronic industries.
Furthermore, semi-molten processing methods such as plasma spraying and thermal
spraying tend to produce porous and splat-like thick coatings, which limit the scope
of applications. Wet chemical routes such as slip casting, tape casting, calendering and
screen printing may be appropriate for thick coating and small area conguration
applications, because the large shrinkage associated with the removal of polymeric bin-
ders and plasticisers in subsequent sintering steps reduces the lm quality for large area
fabrication. Sol-gel coated lms tend to crack and there is a thickness limitation for each
layer (about 1 mm). The process has to be repeated to obtain the required thickness.
There are two well established vapour processing routes, namely Chemical
Vapour Deposition (CVD) and Physical Vapour Deposition (PVD) (e.g. evapora-
tion, sputtering and ion plating). Table 11 gives a general comparison between these
two methods. PVD techniques have been widely used by physicists as they do not
involve complex chemistry and chemical reactions as in the CVD-based techniques.
The general limitations of PVD are
(a) diculty in depositing coatings onto complex shaped components because it
is a line-of-sight process;
(b) diculty for large area deposition and study varying in stoichiometry
because it uses solid metal or oxide sources which are tedious and time con-
suming to be manufactured and installed;
K.L. Choy / Progress in Materials Science 48 (2003) 57170 157
(c) diculty in controlling the stoichiometry of lms (except laser ablation),
which has signicant eect on the properties of the lms; and
(d) relatively expensive because it needs sophisticated reactor and vacuum sys-
tems to facilitate the generation and transportation of vapour species.
There are hybrid processes such as reactive sputtering and reactive ion plating
which introduce O
2
, nitrogen or hydrocarbon for the deposition of oxides, nitrides
and carbides (or DLC), respectively. However, they still generally have the above
limitations.
All the above are not major issues with CVD as shown in Table 11. However,
CVD-based methods generally involve complex chemistry and chemical process
which include the nature of chemical precursors, chemical reactions in the gas phase
Table 11
Comparison between CVD and PVD coating techniques
CVD PVD
Sophisticated reactor and/or vacuum system Sophisticated reactor and vacuum system
Simpler deposition rigs with no vacuum
system has been adopted in variants of CVD
such as pyrosol, ESAVD, FAVD and CCVD
Vacuum system is denitely required to
facilitate the generation of vapour species
and increase the mean free path of the
vapour species to arrive at the substrate
Expensive techniques for LPCVD, plasma
assisted CVD, photo assisted CVD, MOCVD,
ALEP, EVD
Expensive techniques
Relatively low cost techniques for AACVD
and FACVD
Non-line-of-sight process. Therefore, it can Line-of-sight process. Therefore, it has
+ coat complex shaped components
+ deposit coating with good conformal coverage
+ diculty in coating complex shaped
components
+ conformal coverage problem
Tend to use volatile/toxic chemical precursors Tend to use expensive sintered solid targets/
sources which have diculties in:
Less volatile/more environmentally friendly
precursors have been adopted in variants of
CVD such as ESAVD and CCVD
+ large area deposition
+ varying the composition or stoichiometry of
the deposits
Multi-source precursors tend to produce
non stoichiometric lms
Single source precursors have overcome such problems
Multi targets or single targets have diculty in
controlling the stoichiometry of the lms
because dierent elements will evaporate or
sputter at dierent rates, except the laser
ablation method
High deposition temperatures in conventional CVD Low to medium deposition temperatures
Low to medium deposition temperatures can be achieved
using variants of CVD such as plasma assisted CVD,
photo assisted CVD, MOCVD, ESAVD
158 K.L. Choy / Progress in Materials Science 48 (2003) 57170
and heterogeneous reaction. These chemical issues are very often not simple even to
chemists. However, with the use of on-line monitoring and diagnostic tools (as
described in Section 10) together with the process modelling of the thermodynamics,
kinetics and mass transport of the CVD process, these have helped to unveil the
chemistry and uid dynamics in the CVD process and provide a better under-
standing of the chemical reactions and rate controlling steps. Moreover, the use of
single chemical precursor source has minimised the CVD process parameters that
needed to be controlled. The development and emergence of simpler and cost eec-
tive CVD-based techniques ESAVD and CCVD have also contributed to a wider
use of CVD in coating technology, and has opened up new application areas that
might have previously limited by the high cost/limitation of conventional CVD and
PVD.
15. Conclusions and outlook
This article has presented a review of the current and potential development of
CVD processes and their applications. It has revealed that CVD is an important
technique for the deposition of highly pure lms and coatings with good conformal
coverage. In addition, variants of CVD processes have been developed to cater for
specic applications. These include plasma enhanced CVD, photo assisted CVD,
and metalorganic CVD for the low temperature deposition which are useful in
semiconductors; atomic layer expitaxy for the controlled growth of epitaxial lms
and monoatomic layer deposition; pulsed injection MOCVD enables the deposition
superlattice and multilayer thin lms with complex stacking at the nm scale level;
electrochemical vapour deposition for the deposition of dense ionic or electronic
conducting oxide lms onto porous substrates; chemical vapour inltration for the
densication of porous ceramic preform during the manufacturing of ceramic
matrix material for ceramic bre reinforced composites.
These various CVD processes oer the widest range of thin lm and coating
applications than any other deposition or coating techniques. Examples of estab-
lished application areas of CVD include semiconducting thin lms, protective coat-
ings for wear, corrosion, oxidation, chemical reaction and thermal shock resistance,
ceramic bres and CMCs. The capability of CVD processes to use any precursor
sources and processing conditions have led to the development of new and advanced
materials. These include synthetic diamond lms, (which is dicult to be deposited
using PVD or other techniques), superlattice and multilayer thin lms, nanostructured
materials, free standing shapes and rapid prototyping,
The development of emerging low cost, non vacuum CVD-based techniques (e.g.
aerosol assisted CVD and ame assisted CVD), oers the potential to be scaled up
for large area or mass production. This will widen the scope of engineering appli-
cations of CVD that might have previously limited by the high cost/limitation of
conventional CVD.
Although CVD-based methods generally involve complex chemistry and chemical
process, the use of on-line monitoring and diagnostic tools, together with the
K.L. Choy / Progress in Materials Science 48 (2003) 57170 159
improved understanding of the thermodynamics, kinetics and mass transport of the
CVD process would help to unveil the chemistry, rate controlling steps and uid
dynamics in the CVD process. The use of single chemical precursor sources has
minimised the CVD process parameters that need to be control. Such improvements
in the fundamental understanding of CVD processes, deposition control and envir-
onmental safety, together with the versatility of variants of CVD, and the develop-
ment of emerging low cost, non vacuum CVD-based techniques will make CVD an
important thin lm and coating technology for the 21st century, to improve the
performance of lms for both functional and structural applications and to develop
new advanced materials to meet the industrial and social requirements.
Acknowledgements
The author would like to thank Dr. Ming Wei for kind assistance in preparing the
manuscript.
References
[1] De Lodyguine JS. Illuminant for incandecent lamps. US patent 575002 1893.
[2] Choy KL. In: Nalwa HS, editor. Handbook of nanostructured materials and nanotechnology, vol.
1: synthesis and processing. San Diego (CA): Academic Press; 2000. p. 533.
[3] Xie S, Li W, Pan Z, Chang B, Sun L. Mater Sci Eng 2000;A286:11.
[4] Dai H, Franklin N, Han J. Appl Phys Lett 1998;73:1508.
[5] Li WZ, Xie SS, Qian LX, Chang BH, Zou BS, Zhou WY, et al. Science 1996;274:1701.
[6] Kong J, Franklin NR, Zhou CW, Chapline MG, Peng S, Cho KJ, et al. Science 2000;287:622.
[7] Nagy G, Levy M, Scarmozzino R, Osgood RM, Dai H, Smalley RE, et al. Appl Phys Lett 1998;
73:529.
[8] Dai H, Hafner JH, Rinzler AG, Colbert DT, Smalley RE. Nature 1996;384:147.
[9] Kong J, Soh HT, Cassell A, Quate CF, Dai H. Nature 1998;395:878.
[10] Hayman C. B Ceram Proc 1984;34:175.
[11] Hartmann P, Haubner R, Lux B. Int J Refract Met Hard Mater 1998;16:207.
[12] Trava-Airoldi VJ, Corat EJ, Baranauskas V. Advanced Ceramic Tools for Machining Applica-
tionIII 1998;138(1):195.
[13] Partridge PG, Meaden G, Nicholson ED, Nicholson JA, Ashfold MNR. Mater Sci Tech 1997;
13:551.
[14] Lehman O, Stuke M. J Phys (Paris) Colloq 1991; 1(Suppl. 7), C 2337.
[15] Westberg H, Boman M, Johansson S, Schweitz JA. J Appl Phys 1993;73:7864.
[16] Wallenberger FT. Science 1995;267:1274.
[17] Heschel M, Muellenborn M, Bouwstra S. J Microelectromech Sys 1997;6:41.
[18] Lehmann O, Stuke M. J de physique IV 1995;5:452.
[19] Duty CE, Jean DL, Lackey WJ. Ceram Eng Sci Proc 1999;20:347.
[20] Hocking MG, Vasantasree V, Sidky PS. Metallic and ceramic coatings: production, high tem-
perature properties and applications. Longman: Essex (UK) and John Wiley & Sons: New York;
1989.
[21] Pierson HO. Handbook of chemical vapor deposition. Park Ridge (NJ): Noyes; 1992.
[22] Hitchman ML, Jensen KF, editors. CVD principles and applications. San Diego: Academic Press;
1993.
[23] Ismat Shah DA, editor. Handbook of thin lm process technology. Bristol (UK): Institute of Phy-
sics Publishing, 1977, B1.05.
160 K.L. Choy / Progress in Materials Science 48 (2003) 57170
[24] Morosanu CE. Thin lms by chemical vapor deposition. Amsterdam: Elsevier; 1990.
[25] Sherman A. Chemical vapor deposition for microelectronics. Park Ridge (NJ): Noyes; 1987.
[26] Blocher JM. J Vac Sci Technol 1974;11:680.
[27] Bryant WA. J Electrochem Soc 1978;125:1534.
[28] Buckley JD. Am Ceram Soc Bull 1988;67:364.
[29] Strife JS, Sheehan JS. Am Ceram Soc Bull 1988;67:369.
[30] Rossi RC. In: Schuergraf KK, editor. Handbook of thin lm deposition processes and techniques.
Park Ridge (NJ): Noyes; 1988. p. 80.
[31] Toy DA. Semiconductor International 1990;6:64.
[32] Kern W, Schuergraf KK. In: Schuergraf KK, editor. Handbook of thin lm deposition processes
and techniques. Park Ridge (NJ): Noyes; 1988. p. 1.
[33] Lili Vescan C, In: Handbook of thin lm process technology. Bristol (UK): Institute of Physics,
1995. p. B1.0:12.
[34] Hunt LP, In: Cullen GW, editor. 10th Int. Conf. on chemical vapour deposition. Princeton (NJ):
Electrochemical Society; 1987. p. 112.
[35] JANAF Thermochemical tables, 3rd ed. J Phys Chem Ref Data 14 (Suppl. 1.), 1986.
[36] CRC. Handbook of chemical data. CRC; 1987.
[37] Bernard C. In: Blocher JM, Vuillard GE, editor. Proc. 8th Int. Conf. on CVD 1981. p. 3.
[38] Kubachewski O, Alcock CB, Raynor GV, editors. Metallurgical thermochemistry. Oxford: Perga-
mon Press; 1979.
[39] Vandelbucke L, In: Blocher JM, editor. Proc 8th Int. Conf. on chemical vapour deposition.
Princeton (NJ): Electrochemical Society, 1981. p. 32.
[40] Lever RF. IBM J Res Develop 1964;9:470.
[41] Spear KE, Wang MS. Solid State Technol 1980;23:63.
[42] Besmann TM, Spear KE. J Electrochem Soc 1977;124:786.
[43] Carlsson JO, Boman M. J Vac Sci Technol A 1985;3:2298.
[44] Ekvicalc EKV, IBASE. Svensk Energi Data, S-74022 Balinge, Sweden: Agersta.
[45] Rouch H, Pons M, Benezech A, Bernard C, Madar RJ. Physique 1993;3:17.
[46] Ho P, Coltrin ME, Binkley JS, Melius CF. J Phys Chem 1986;90:3399.
[47] Ho P, Melius CF. J Phys Chem 1990;95:1410.
[48] Cavallotti C, Masi M, Carra S. J de physique IV 1999;9:355.
[49] Piekarczyk W. Cryst Res Technol 1999;34:553.
[50] Angelopoulos GN, Kinkel S, Voudouris N. Surf Coat Technol 1996;78:72.
[51] Thyssandier F, Allendorf MD. J Electrochem Soc 19981452167.
[52] Rebenne H, Pollard R. J Electrochem Soc 19851321932.
[53] Jensen KF. Chem Eng Sci 1987;42:923.
[54] Jasinski JM, Meyerson BS, Scott BA. Ann Rev Phys Chem 1987;38:109.
[55] Gates SM. Surf Sci 1988;37:307.
[56] Comfort JH, Reif R. J Electrochem Soc 19891362386.
[57] Lee PW, Omstead TR, McKenna DR, Jensen KF. J Crystal Growth 1987;85:165.
[58] Larsen CA, Buhan NI, Li SH, Stringfellow GB. Appl Phys Lett 1988;52:480.
[59] Somorjai GA. Chemistry in two dimensions. Ithaca (NY): Cornell University Press; 1984.
[60] Buss RF, Ho P, Breiland WG, Coltrin ME. J Appl Phys 1988;63:2808.
[61] Aspnes DE. Proc Mat Res Soc 1990;198:341.
[62] Choy KL, Derby B, J de Physique IV, Colloque C2 suppl. J de Physique II 1991;1:697.
[63] Bloem J, Giling L. J Curr Topics Mater Sci 1978;1:147.
[64] Van Der Put PJ, Ammerlaan JAM, Dekker JP, Schoonman J. Adv Mater 1999;11:211.
[65] Molodyk AA, Korsakov IE, Novojilov MA, Graboy IE, Kaul AR, Wahl G. Adv Mater 2000;
12:133.
[66] Hu ZJ, Huettinger KJ. Adv Mater 2000;12:77.
[67] Roth A. Vacuum technology. Amsterdam: North-Holland; 1976. p. 60.
[68] Jensen KF, In: Hitchman ML, Jensen KF, editors. CVD principles and applications. London:
Academic Press; 1993. p. 50.
K.L. Choy / Progress in Materials Science 48 (2003) 57170 161
[69] Schlichting H. Boundary layer theory. New York: McGraw-Hill; 1960.
[70] Grove AS. Physics and technology of semiconductor device. New York: Wiley; 1960.
[71] Kleijn CR, Kuijlaars KJ, Okkerse M, VanSanten H, Van Den Akker HEA. J de physique IV 1999;
9:655.
[72] Park KW, Pak HY. Numerical Heat Transfer, Part A, Applications 2000;37:407.
[73] Yoon S, Moon Y, Lee TW, Hwang H, Yoon E, Kim YD, et al. J Electron Mater 2000;29:535.
[74] Rouch H, Pons M, Benezech A, Bernard C, Madar R. Thin Solid Films 1996;281/282:64.
[75] Cranmer DC. Ceram Bull 1989;68:415.
[76] Breiland WG, Ho P. In: Hitchman ML, Jensen KF, editors. CVD principles and applications. San
Diego: Academic Press; 1993. p. 91 [Chapter 3].
[77] Aspnes DE. Proc Mat Res Soc 1990;198:341.
[78] Bradley D, Matthews KJ. J Mech Eng Sci 1968;10:299.
[79] Ban VS. J Electrochem Soc 1978;125:317.
[80] Smith Jr J, Sedwick E. Lett Heat Mass Transfer 1975;2:329.
[81] Benet S, Berge R, Brunet S, Charar S, Armas B, Combescure C. Rev Int Hautes Tempe r Refract Fr
1982;19:77.
[82] Vest CM. Holographic interferometry. New York: Wiley; 1979.
[83] Noles GT, Lieberman MLJ. Chromatogr 1975;114:211.
[84] Harris SJ, Weiner AM. J Appl Phys 1990;67:6520.
[85] Heinrich J, Hemeltjen S, Marx G. Mikrochimica Acta 2000;133:209.
[86] Ban VS. J Cryst Growth 1972;17:19.
[87] Harris SJ, Weiner AM. Appl Phys Lett 1989;55:2179.
[88] Slirski J, Huchet G, Reynes A, Marty A, Teyssandier F. Chem Mater 1995;7:622.
[89] Inoue Y, Sugimura H, Takai O. Thin Solid Films 1999;345:90.
[90] Smith Jr J, Sedwick E. Thin Solid Films 1977;40:1.
[91] Bouix J, Berthet MP, Boubehira M, Dazord J, Vincent H. J Electrochem Soc 19821292338.
[92] Koppitz M, Vestavik O, Pletchen W, Mircea A, Heyen M, Richter W. J Cryst Growth 1984;
68:136.
[93] Hu R, Tin CC, Feng ZC, Liu J, Vohra Y. Silicon Carbide Related Mat 1995;142:345.
[94] Nishida K, Morisawa K, Hiraki A, Muraishi S, Katoda T. Appl Surf Sci 2000;159:143.
[95] Kajiyama K, Saito K, Usuda K, Kano SS, Maeda S. Appl Phys B 1985;38:139.
[96] Devonshi R. Chemtronics 1987;2:183.
[97] Lu ckerath R, Tommack P, Hertling A, Kob H. J Cryst Growth 1988;93:151.
[98] Leys MR, Veenvliet H. J Cryst Growth 1981;55:145.
[99] Nishizawa J. J Cryst Growth 1982;56:273.
[100] Butler JE, Bottka N, Sillmon RS, Gaskill DK. J Cryst Growth 1986;77:163.
[101] Wormhoudt J, Stanton AC, Richards AD, Sawin HH. J Appl Phys 1987;61:142.
[102] Karlicek Jr RF, Bloemeke A. J Cryst Growth 1985;73:364.
[103] Tong WG, Shaw RW. Appl Spectrosc 1986;40:494.
[104] Segwick T, Arbach GV. In: Proceeding of the 10th Materials Research Symposium on Character-
ization of High Temperature Vapours and Gases, Special Publication 561. Gaithersburg (MD):
National Bureau of Standards; 1979. p. 885.
[105] Donnelly VM, Karlicek RF. J Appl Phys 1982;53:6399.
[106] Takubo Y, Takasuki Y, Yamamoto M. J Appl Phys 1988;64:1050.
[107] Moller A, Kall R, Till V, Wortberg G, Adomeit G. J Cryst Growth 1997;174:837.
[108] Vanier PE, Kampas FJ, Corderman RR, Rajeswaran G. J Appl Phys 1984;56:1812.
[109] Fuyuki T, Allain B, Perrin J. J Appl Phys 1990;68:3322.
[110] Liao Y, Li CH, Ye ZY, Chang C, Wang GZ, Fang RC. Diamond Rel Mat 200091716.
[111] Nakahata K, Ro K, Suemasu A, Kamiya T, Fortmann CM. Shimizu, Jpn J Appl Phys Pt1 2000;
39:3294.
[112] Ban VS. J Electrochem Soc 1978;125:317.
[113] Brieland WG, Evans GH. J Electrochem Soc 19911381806.
[114] Visser EP, Govers CAM, Giling LJ. J Cryst Growth 1990;102:529.
162 K.L. Choy / Progress in Materials Science 48 (2003) 57170
[115] Gilling LJ. J Electrochem Soc 1982;129:634.
[116] Williams JE, Peterson RW. J Cryst Growth 1986;77:128.
[117] Koppitz M, Vestavik O, Pletchen W, Mircea A, Heyen M, Richter W. J Cryst Growth 1984;
68:136.
[118] Chiu KC, Ouazzani J, Rosenberger F. Int J Heat Mass Transfer 1987;30:1655.
[119] Johnson EJ, Hyer PV, Culotta PW, Clark IO. J Cryst Growth 1998;187:463.
[120] Movchan BA, Demchishin AW. Fis Metall Metallowed 1969;28:653.
[121] Thornton JA. Ann Rev Mater Sci 1977;7:239.
[122] Meyerson BS. Appl Phys Lett 1986;48:797.
[123] Van de Brekel CHJ, Bollen LJM. J Cryst Growth 1981;54:310.
[124] Hitchman ML, Kane J, Widmer AE. Thin Solid Films 1979;59:231.
[125] Jasinki JM, Gates SM. Acc Chem Res 1991;24:9.
[126] Venkatesan M, Beinglass I. Solid State Technol 1993;3:49.
[127] Hendriks M, Werkhoven CJ, Huussen F, Granneman E. Int. Conf. Electron. Mat. (EMRS 1992
meeting, 1992.
[128] Meyerson BS, In: Hitchman ML, Jensen KF, editors. Chemical vapour deposition: principles and
application. San Diego: Academic Press; 1993. p. 220.
[129] Liaw HM, Rose JW. In: Baliga BJ, editor. Epitaxial silicon deposition. Orlando (FL): Academic
Press; 1986. p. 1.
[130] Nishizawa J. J Jpn Ass Cryst Growth 1978;5:17.
[131] Bloem J, Claassen WAP. J Cryst Growth 1980;49:435.
[132] Hitchman ML. Prog Cryst Growth Charact 1981;4:249.
[133] Meyerson BS, Uram KJ, LeGoues FK. Appl Phys Lett 1988;53:2555.
[134] Ogirima M, Saida H, Suzuki M, Maki M. J Electrochem Soc 1977;124:903.
[135] Sherman A. Chemcial vapour deposition for microelectronics. Park Ridge (NJ): Noyes; 1987.
[136] Rossi RC. In: Schuegraf KK, editor. Handbook of thin lm deposition processes and techniques.
Park Ridge (NJ): Noyes; 1988, p. 80.
[137] Kwakman LFT, Londow EJ, Granneman EHA, Martin F, Veler JC, Joly JP. Appl Surf Sci 1992;
70/71:629.
[138] Rosler RS. Solid State Technol 1977;20:63.
[139] Galasso FS. Chemical vapour deposited materials. Boca Raton (FL): Chemical Rubber Company;
1991.
[140] Isobe Y, Shirakawa H, Son P, Miyake M. J Less Common Metals 1989;152:251.
[141] Kaplan LH, dHeurle FM. J Electrochem Soc 1970;117:693.
[142] Bryant WA. J Electrochem Soc Solid State Sci Technol 1973;120:561.
[143] Ichikawa Y, Sakai H, Uchida Y. In: Cullen G, editor. Proc 10th Conf on CVD. Pennington (NJ):
Electrochem. Soc.; 1987. p. 967.
[144] Manasevit HM, Gergis IS, Jones AB. J Electron Mater 1983;12:637.
[145] Robbins DJ, Cullis AG, Pidduck AJ. J Vac Sci Technol B 1991;9:2048.
[146] Dutartre D, Warren P, Berbezier I, Perret P. Thin Solid Films 1992;222:52.
[147] Caymax MR, Poortmans J, Van, Ammel A, Vandervorst W, Vanhellemont J, Nijs J. Mat Res Soc
Symp Proc 1992;259:461.
[148] Ju rgensen H, Wachs BP, Heyen M. Proc. Electron. Mater. (Proc. 1st Int. Conf., Santa Barbara).
New York: American Inst. Chem. Eng.; 1987. p. 25.
[149] Bernard C, Madar R. Mat Res Soc Symp Proc 1992;250:3.
[150] Vescan L. In: Glocker DA, Shah SI, editors. Handbook of thin lm process technology. Bristol
(UK): Institute of Physics Publishing; 1997. p. B1.4:33.
[151] Schintlmeister W. Metalloberache 1986;40:97.
[152] Bernex, (n.d), Report no. 756002 Bernex, CH-Olten, 1983.
[153] Hintermann HWE. Thin Solid Films 1981;84:215.
[154] Merrigan M, Dunwoody W, Lundberg L. J Heat Recovery Syst 1982;2(2):125.
[155] Campbell I, Sherwood E. High temperature materials and technology. New York: John Wiley &
Sons; 1967.
K.L. Choy / Progress in Materials Science 48 (2003) 57170 163
[156] West GA, Beeson KW. In: Cullen G, editor. Proc 10th Int. Conf. on CVD. Pennington (NJ):
Electrochem. Soc.; 1987. p. 720.
[157] Gaczi PJ, Reynolds GJ. J Electrochem Soc 198913692661.
[158] Bennett MJ, Houlton MR, Hawes RW. Corros Sci 1982;22(2):111.
[159] Roos JR, Celis JP. Metalloberache 1982;11:557.
[160] Wahl G. Thin Solid Films 1983;107:417.
[161] Hirai T, Goto T. Mat Sci Res 1986;20:165.
[162] Langley PA, Prevender TS. Thin Solid Film 1977;63:227.
[163] Mattox DM. Thin Solid Films 1979;63:213.
[164] Mullendore AW, Whitley JB, Pierson HO, Mattox DM. J Vac Sci Technol 1981181049.
[165] Hua B, Li C. Mater Chem Phys 1999;59:130.
[166] Awasthi S, Wood J. Ceram Eng Sci Proc 1988;9:553.
[167] Pochet LF, Howard P, Safaie S. Surface Coatings Technol 1997;9495:70.
[168] Rickerby DS, Winstone MR. Mat Manufacturing Proc 1992;7:495.
[169] Stueber PJ, Klach SJ. US patent 4070507, 1978.
[170] Alperine S, Steiunmetz P, Friant-Constantini A, Josso P. Surf Coat Technol 1997;43/44:347.
[171] Melnik DJ. Technologija diusionnych pokrytija. Kiev; Technika: 1978.
[172] Ketron LA. Ceram Bull 1987;87:571.
[173] Simpkinds PG, MacChesney JB, Greenberg-Kosinsky S. J Appl Phys 1979;50:5676.
[174] Mah T, Mendiratta M, Katz A, Mazodiyasni K. Ceram Bull 1987;66:304.
[175] Kieschke RR, Clyne TW. Mater Sci Eng 1991;A135:145.
[176] Das G. Metallurg Trans A 1993;21A:1571.
[177] Staniaszek N. Mat World 1993;1:3.
[178] Gundel DB, Wawner FE. Scripta Metallurg Material 1991;25:437.
[179] Warwick CM, Smith JE. 12th Riso Symp Mater Sci. Roskilde (Denmark); Riso Nat. Lab.; 1992. p. 735.
[180] Wawner FE, Nutt SR. Ceram Eng Sci Proc 1980;1:709.
[181] Choy KL. J Microscopy 1993;169(Pt2):289.
[182] Nathan M, Ahearn JS. Mater Sci Eng 1990;A126:225.
[183] Bilba K, Manaud JP, Le, Petitcorps Y, Quenisset JM. Mater Sci Eng A 1991;135:141.
[184] Kieschke RR, Somekh RE, Clyne TW. Acta Metall Mater 1991;39:427.
[185] Choy KL, Derby B. J de Physique IV Colloque C2 1991;1:697.
[186] Choy KL, Durodola J, Derby B, Ruiz C. Composites 1995;26:91.
[187] Choy KL, Derby B. J Mater Sci 1994;29:3774.
[188] Choy KL, Derby B. J Microscopy 1993;169:289.
[189] Choy KL, Derby B. Electrochem Soc Symposium Proc 1993;93(2):408.
[190] Choy KL. Scripta Metallurg et Material 1996;34:1753.
[191] Choy KL. Scripta Metallurg et Material 1995;32:219.
[192] Johnson DW, Curry DM. 27th Structures, Structural Design and Materials Conference, San
Antonio (TX), May. AIAA paper No. 860949-CP; 1986.
[193] Oosterhout JC. Protective coating of carbon-carbon composites. Neth. Appl. NL 8402171; Feb 3 1986.
[194] Kawai C, Wakamatsu S, Sakagami S, Igarashi T. In: Yamanouchi M, Koizumi M, Hirai T, Shiota
I, editors. Proc 1st Int. Symp. Functionally Graded Materials; 1990. p. 77.
[195] Sasaki M, Hiratani T, Hitai T. Proc 108th Meeting of Japan Inst Met; 1991. p. 396.
[196] Kawai C, Igarashi T. Proc. 104th Meeting of Japan Inst Met; 1989. p. 295.
[197] Sasaki M, Wang Y, Hirano T, Hirai T. J Ceram Soc Jpn 1989;97:530.
[198] Unemura S, Sohda Y, Kude Y, Hirai T, Sasaki M. J Jpn Soc Powder and Powder Met 1990;37:275.
[199] Sasaki M, Hirai T. In: Yamanouchi M, Koizumi M, Hirai T, Shiota I, editors. Proc 1st Int Symp
Functionally Graded Materials; 1990. p. 83.
[200] Sasaki M, Hirai T, Hashida T, Takahashi T. J Jpn Soc Powder and Powder Met 1990;37:966.
[201] Hess DW, Graves DB. In: Hitchman ML, Jensen KF, editors. CVD principles and applications.
San Diego: Academic Press; 1993. p. 387 [Chapter 7].
[202] Scheib M, Schroder B, Oechsner HY. J Non-Cryst Solids 1996;200:895.
[203] Dehbi-Alaoui A. Vacuum 1995;46:1305.
164 K.L. Choy / Progress in Materials Science 48 (2003) 57170
[204] Bell AT. Solid State Technol 1978;21:89.
[205] MsDavid EW, Cermak V, Dalgarno A, Ferguson EE, Friedman L. Ion molecule reactions. New
York: Wiley; 1970.
[206] Catherine Y. In: Mathad GS, Schwartz GC, Smolinsky G, editors. Plasma processing. Pennington:
Electrical Society, 1985, p. 317.
[207] Smith DL, Alimonda AS, Chen CC, Ready SE, Wacker B. J Electrochem Soc 1990;137:614.
[208] Chiang JN, Hess DW. J Electrochem Soc 19901372222.
[209] Knolle WR, Osenbach JW. J Appl Phys 1985;58:1248.
[210] Livengood RE, Petrich MA, Hess DW, Reimer JA. J Appl Phys 1988;63:2651.
[211] Flamm DL, Chang CP, Ibbotson DE, Mucha JA. Solid State Technol 1987;30:43.
[212] Claassen WAP, Valkenburg WGJN, Willemsen MFC, Wijgert WMVD. J Electrochem Soc 1985;
132:893.
[213] Rie KT, Gebauer A, Woehle J. Surface Coatings Technol 1996;86/87:498.
[214] Rie KT, Gebauer A, Prohl C. Journal de Physique IV 1995;5:50.
[215] Rosler RS. Solid State Technol 1991:67.
[216] Jansen F. In: Glocker DA, Shah SI, editors. Handbook of thin lm process technology. Bristol
(UK): IOP; 1995.
[217] Hopwood J. In: Goldstein AN, editor. Handbook of nanophase materials. Marcel Dekker, New
York, 1997.
[218] Lucovsky G, Tsu DV. In: Vossen JL, Kern W, editors. Thin lm processes I. I. Boston: Academic
Press; 1991. p. 565.
[219] Reif R, Kern W. In: Vossen JL, Kern W, editors. Thin lm processes I. I. Boston: Academic Press;
1991. p. 525.
[220] Spear KE, Dismukes JP. Synthetic diamond: emerging CVD science and technology. Chichester
(UK): Wiley; 1994.
[221] Matsui Y, Yabe H, Sugimoto T, Hirose Y. Diamond Relat Mat 1991;1:19.
[222] Shah SI, Waite MM. Appl Phys Lett 1992;61:26.
[223] Ismat Shah S, Waite MH. In: Glocker DA, Shah SI, editors. Handbook of thin lm process tech-
nology, X1.8. Bristol (UK): Institute of Physics; 1995.
[224] Erdemir A, Bindal C, Fenske GR, Zuiker C, Krauss, Gruen DM. Diamond Relat Mat 1997;
5:923.
[225] Bhusari DM, Yang JR, Wang TY, Lin ST, Chen KH, Chen LC. Solid State Comm 1998;107:301.
[226] Erdemir A, Halter M, Fenske GR, Krauss A, Gruen DM, Pimenov SM. Surf Coat Technol 1997;
9495:537.
[227] Asmann M, Heberlein J, Pfender E. Diamond Rel Mat 1999;8:1.
[228] Wang WL, Liao KJ, Zhang RQ. Mater Lett 2000;44:336.
[229] Huh JM, Yoon DY. Diamond Rel Mat 2000;9:1475.
[230] Plano LS, Stevenson DA, Carruthers JR, In: Purdues AJ, Meyerson BM, Angus JC, Spear KE,
Davis RF, Yoder MN, editors. Diamond materials, vol. 91-8. Pennington (NJ): Electrochemical
Society; 1991. p. 290.
[231] Park KJ, Chin EY. Polym Degrad Stab 2000;68(1):93.
[232] Lee KR, Eun KE, Kim KM, Choi KC. Surface Coatings Technol 1995;7677:786.
[233] Sugino T, Tanioka K, Kawasaki S, Shirafuji J. Diamond Rel Mat 1998;7:632.
[234] Szmidt J, Werbowy A, Jakubowski A, Sokolowska A, Olszyna A. SPIE Proc. Series 1998;3316:102.
[235] Werbowy A, Szmidt J, Sokolowska A. Adv Sci Technol 1999.
[236] Olszyna A, Siwiec J, Dwilinski R, Kaminska M, Hrabowska J, Sokolowska A. Mater Sci Eng B
1997;50:170.
[237] Asmann M, Heberlein J, Pfender E. Diamond Rel Mat 1999;8:1.
[238] Veprek S, Haussmann M, Reiprich S, Shizhi L, Dian J. Surf Coat Technol 1996;8788:394.
[239] Wu Y, Lee YM, Lucovsky G. Electron Device Lett 2000;21(3):116.
[240] Adams AC. Solid State Technol 1983;26:135.
[241] Stuke J. Ann Rev Mat Sci 1985;15:79.
[242] Sah RE, Dishler B, Bubenzer A, Koidl P. Appl Phys Lett 1985;46:739.
K.L. Choy / Progress in Materials Science 48 (2003) 57170 165
[243] Pouch JJ, Alterovitz SA, Warner JD. In: Coburn JE, Gottscho RA, Hess DW, editors. Plama
processing, vol. 68. Pittsburgh (PA): Matertials Research Society Proceedings; 1986. p. 211.
[244] Irvine SJC. In: Glocker DA, Shah SI, editors. Handbook of thin lm process technology, B1.3.
Bristol (UK): Institute of Physics; 1995.
[245] Deutsch TF, Ehrlich DJ, Osgood RM. Appl Phys Lett 1979;35:175.
[246] Osgood RM, Deutsch TF. Science 1985;227:709.
[247] Herman IP. Chem Rev 1989;89:1323.
[248] McCrary VR, Donnelly VM, In: Hitchman ML, Jensen KR, editors. Chemical vapour deposition.
London: Academic Press; 1993.
[249] Abraham E, Halley JM. Appl Phys A 1987;42:279.
[250] Rothschild M. In: Ehrlich DJ, Tsao JY, editors. Laser microfabrication: thin lm processes and
lithography. New York: Academic Press; 1992.
[251] Donnelly VM, McCrary VR, Appelbaum A, Brasen D, Lowe WP. J Appl Phys 1987611410.
[252] Tarui Y, Aota K, Sugiura T, Saitoh T. Mater Res Soc Symp Proc 1984;29:109.
[253] Solanki R, Moore CA, Collins GJ. Solid State Technol 1985;5:220.
[254] Nishida S, Tasaki H, Konagai M, Takahashi K. J Appl Phys 1985;58:1427.
[255] Bernhardt AF, McWilliams BM, Mitlitsky F, Whitehead JC. Mat Res Soc Symp Proc 1987;75:633.
[256] Roth W, Krautle H, Krings A, Beneking H. Mat Res Soc Symp Proc 1983;17:193.
[257] Donnelly VM, Tu CW, Beggy JC, McCrary VR, Lamont MG, Harris TD, et al. Appl Phys Lett
1988;52:1065.
[258] Irvine SJC, Mullin JB, Tunniclie J. J Crystal Growth 1984;68:188.
[259] Hwang S, Harper RL, Harris KA, Giles NC, Bicknell RN, Cook JW, et al. J Vac Sci Technol A
1988;6:2821.
[260] Ehrlich DJ, Deutsch TF, Osgood RM, In: White CW, Peercy PS, editors. Laser and electron beam
processing of materials. London; Academic Press; 1980.
[261] Houle FA. Appl Phys A 1986;41:315.
[262] Tonneau D, Pierrisnard R, Dallaporta H, Marine W. Journal de physique IV 1995;5:566.
[263] Tamagawa K, Hayashi T, Komiyama S. Jpn J Appl Phys 1986;25:L728.
[264] Jasinki JM, Meyerson BS, Nguyen TN. J Appl Phys 1987;61:431.
[265] Kawai T, Choda T, Kawai S. Mat Res Soc Sym Proc 1987;75:289.
[266] Cao LX, Feng ZC, Liang Y, Hou WL, Zhang BC, Wang YQLIL. Thin Solid Films 1995;257:7.
[267] Lehman O, Stuke M. J Phys (Paris) Colloq 1991;1(Suppl. 7):C2337.
[268] Westberg H, Boman M, Johansson S, Schweitz JA. J Appl Phys 1993;73:7864.
[269] Niinisto L, Ritala M, Leskela M. Mat. Sci. Enging. B. Solid-State Mat Adv Technol 1996;41:23.
[270] Lau JE, Barth KW, Peterson GG, Endisch D, Topol A, Kaloyeros AE, et al. J Electrochem Soc
1998;145:4271.
[271] Bachmann KJ, Hoepfner C, Sukidi N, Miller AE, Harris C, Aspnes DE, et al. Appl Surf Sci 1997;
112:38.
[272] Koukitu A, Taki T. Appl Surf Sci 1997;112:63.
[273] Herman MA, Sadowski JT. Crystal Res Technol 1999;34:153.
[274] Suntola T. In: Glocker DA, Shah SI, editors. Handbook of thin lm process technology, B1:5.1.
Bristol (UK): Institute of Phyiscs; 1995.
[275] Park HS, Min JS, Lim JW, Kang SW. Appl Surf Sci 2000;158:81.
[276] Charleux M, Rouviere JL, Hartmann JM, Bourret A. J Appl Phys 1998;84:756.
[277] Torimoto T, Obayashi A, Kuwabata S, Yoneyama H. Electrochem Comm 2000;2:359.
[278] Hartmann JM, Kany F, Charleux M, Samson Y, Rouviere JL, Mariette H. J Appl Phys 1998;
84:4300.
[279] Suntola T, Anston J. Soc Inform Display Dig 1980:108.
[280] Goto S, Higuchi K, Hasegawa H. Inst Phys Conf Ser 1991;120:547.
[281] Goto S, Ishizaki J, Fukui T, Hasegawa H. Jpn J Appl Phys 1994;33:734.
[282] Gupta JA, Woicik JC, Watkins SP, Miyano KE, Pellegrino JG, Crozier ED. J Cryst Growth 1998;
195:34.
[283] Isshiki H, Aoyagi Y, Sugano T. Microelectron Eng 1998;4344:301.
166 K.L. Choy / Progress in Materials Science 48 (2003) 57170
[284] Faschinger W, Sitter H. J Cryst Growth 1990;99:566.
[285] Rautiainen A, Koskinen Y, Skarp J. Mat Res Soc Symp Proc 1991;222:263.
[286] Hsu CT. Thin Solid Films 1998;335:284.
[287] Utriainn M, Kovacs C, Campbell JM, Niinistoe L, Reti F. J Electrochem Soc 1999;146:189.
[288] Kukli K, Aarik J, Aidla A, Simon H, Ritala M, Leskelae M. Appl Surf Sci 1997;112:236.
[289] Lujala V, Skarp J, Tammenmaa M, Suntola T. Appl Surf Sci 1994;8283:34.
[290] Jokinen J, Haussalo P, Keinonen J, Ritala M, Riihela D, Leskela M. Thin Solid Films 1996;
289:159.
[291] Martensson P, Juppo M, Ritala M, Leskela M, Carlsson JO. J Vac Sci Technol B 1999;17:2122.
[292] Suntola T. Mat Sci Rep 1989;4:261.
[293] Ishii M, Iwai S, Kawata H, Ueki T, Aoyagi Y. J Cryst growth 1997;180:15.
[294] Utriainen M, Kroeger, Laukkanen M, Johansson LS, Niinistoe L. Appl Surf Sci 2000;157:151.
[295] Ritala M, Leskelae M. Nanotechnology 1999;10:19.
[296] Mukai K, Ohtsuka N, Sugawara M. Jpn J Appl Phys 1996;35:2.
[297] Engelmann R, Ferguson J, Solanki R. Appl Phys Lett 1997;70:411.
[298] Lakomaa EL. Appl Surf Sci 1994;75:185.
[299] Utriainen M, Lehto S, Niinisto L, Ducso C, Khanh NQ, Horvath ZE, et al. Thin Solid Films 1997;
297:39.
[300] Park W, Jones TC, Wagner BK, Summers CJ. Appl Physics Lett 1999;74:2860.
[301] CVD metalorganics for vapour phase epitaxy, product guide and literature review, Danvers (MA):
Morton International, Advanced Materials; 1993.
[302] Ghandhi SK, Bhat IB. MRS Bulletin 1988;11:37.
[303] Dupuis RD. In: Glocker DA, Shah SI, editors. Handbook of thin lm process technology, B1.1:1.
Bristol (UK): Institute of Physics; 1995.
[304] Jones AC. J Cryst Growth 1993;129:728.
[305] Duchemin JP. J Vac Sci Technol 1981;18:753.
[306] Ludowise MJ. J Appl Phys 1985;58:R31.
[307] Stringfellow GB. Organometallic vapour phase epitaxy, theory and practice. San Diego (CA):
Academic Press; 1989.
[308] Putz N, Heinecke H, Heyen M, Balk P, Wayers M, Luth H. J Crystal Growth 1986;74:292.
[309] Tsang WT. In: Einspruch NG, Cohen SS, Singh RN, editors. VLSI electronics microstructure
science, vol. 21: beam processing technologies. New York: Academic Press; 1989. p. 255.
[310] Islam MR, Chelakara RV, Ne JG, Fertitta KG, Grudowski PA, Holmes AL, et al. J Electron
Mater 1995;24:181.
[311] Horikoshi Y, Minggawa S. J Cryst Growth 1994;145:1.
[312] Egawa T, Ishikawa H, Jimbo T, Unemo M. Bull Mater Sci 2000;22:363.
[313] Anderson PL, Erbil A, Nelson CR, Tompa GS, Moy K. J Cryst Growth 1994;135:383.
[314] Sugiura L, Shigendka K, Nakata F, Hirahara K. J Cryst Growth 1994;145:547.
[315] Fujita S, Fujita S. J Cryst Growth 1994;145:552.
[316] Pal P, Bhatt M, Narng V, Singh RA, Dube GC, Kumar V. SPIE Proc Series 1998;3316:810.
[317] Manasevit HM, Simpson W. J Electrochem Soc 1975;122:444.
[318] Tompa GS, Wolak E, Stall RA, George MA, Lippitt M, Norman JAT. Mat Res Soc Symp Proc
1992;282:323.
[319] Jones AC, Alud J, Rushworth SA, Critchow GW. J Cryst Growth 1994;135:285.
[320] Stall RA, Wolak E, Zawadski P. Mat Res Soc Symp Proc 1992;282:115.
[321] Erbil A, Braun W, Zwak BS, Wilkens BJ, Boatner LA, Budai JD. J Cryst Growth 1992;24:84.
[322] Tominaga K, Sakashita Y, Nakashima H, Ada M. J Cryst Growth 1994;145:219.
[323] Kumar ND, Kamalasanan MN, Chandra S. Appl Phys Lett 1994;65:1373.
[324] Sugimoto T, Yoshida M, Yamaguchi K, Yamada Y, Sugawara K, Shirohara Y, et al. J Crystal
Growth 1991;107:692.
[325] Sant C, Gibart P, Genou P, Verie C. J Cryst Growth 1992;124:690.
[326] Holonyak N, Kolbas RM, Dupuis RD, Dapkus PD. IEEE J Quant Electron 1980;QE-16:170.
[327] Duchemin JP, Bonnet M, Koelsch F, Huyghe D. J Cryst Growth 1978;45:181.
K.L. Choy / Progress in Materials Science 48 (2003) 57170 167
[328] Ohkubo M, Ijichi T, Iketani A, Kikuta T. IEEE J Quantum Electron. 1998;30:408.
[329] Motoda T, Kato M, Kadoiwa K, Shima A, Tsugami M, Sonoda T, et al. J Cryst Growth 1994;
145:650.
[330] Bour DP, Geels RS, Treat DW, Paoli TL, Ponce F, Thornton RL, Kunsor BS, Bringans RD,
Welch DF. IEEE J Quantum Electron 1994;QE-30:593.
[331] Kurishima K, Nakajima H, Yamahata S, Kobayashi T, Matsuoka Y. Appl Phys Lett 1994;
64:1111.
[332] Toda A, Kawasaki T, Zmaniski D, Ishibashi A. Electron Lett 1995;31:235.
[333] Pautrat JL, Monterrat E, Ulmer L, Magnea N, Mariette H, Bleuse J, et al. J Cryst Growth 1992;
117:454.
[334] Manasevit HM, Simpson WI. J Electrochem Soc 1975;122:444.
[335] Senateur JP, Dubourdieu C, Galindo V, Weiss F. Application of pulsed injection MOCVD to the
deposition of oxide single layers and superlattices. In: Choy KL, editor. Innovative/cost-eective
processing of lms and powders. London: Imperial College Press; 2002.
[336] Molodyk AA, Gorbenko OY, Kaul AR. J Alloys Comp 1997;951:303.
[337] Gorbenko OY, Bosak AA. J Cryt Growth 1998;186:181.
[338] Leskela M, Mosla H, Ninistro L. Supercond Sci Tech 1993;6:627.
[339] Gorbenko OY, Kaul AR, Wahl G. Chem Vapour Deposit 1997;3:4.
[340] Studebaker DB, Zhang J, Marks YJ, Wang YY, David VP, Schindler JL. Appl Phys Lett 1998;
72:1253.
[341] Matsuno S, Unemura T, Uchikawa F, Ikeda B. Jpn J Appl Phys 1995;34:2293.
[342] Senateur JP, Madar R, Thomas O, Weiss F, Abrutis A. Patent no. 93/08838.
[343] Senateur JP, Abrutis A, Felten F, Thomas O, Weiss F, Madar R. In: Vincenzini P, editor. Advances
in inorganic lms and coatings. Italy: Techna srl.; 1995. p. 161.
[344] Galindo V, Senateur JP, Abrutis A, Teiserskis A, Weiss F. J Cryst Growth 2000;208:357.
[345] Weiss F, Senateur JP, Lindner J, Galindo V, Dubourdieu C, Abrutis A. J Phys IV 1999;9:283.
[346] Choy KL. Vapour processing of nanostructured materials. In: Nalwa HS, editor. Handbook of
nanostructured materials and nanotechnology. San Diego (CA): Academic Press; 2000. p. 533.
[347] Rayleigh JWS. The theory of sound, vol. 2. New York: Dover; 1945.
[348] Taylor GI. Proc R Soc London Ser A 1964;280:383.
[349] Ferna ndez de la Mora J, Loscertales IG. J Fluid Mech 1994;260:155.
[350] Gan a n-Calvo AM, Da vila J, Barrero A. J Aerosol Sci 1997;28:249.
[351] Spiz J, Viguie JC. Fr. Patent 2,110. 622 1972.
[352] Blandenet G, Lagrade Y. Thin Solid Films 1981;77:81.
[353] Omura K, Veluchamy P, Tsuji M, Nishio T, Murozono M. J Electrochem Soc 19991462113.
[354] Bornand V, ElBouchikhi A, Papet P, Philippot E. J Phys III 1997;7:853.
[355] ElBouchikhi A, Papet P, Haidoux A, Philippot E. Thin Solid Films 1995;268:13.
[356] Gautheron B, Labeau M, Delabouglise G, Schmatz U. J Solid State Chem 1993;102:434.
[357] Deschanvres JL, Joubert JC. J Magn Mater 1991;101:224.
[358] Deschanvres JL, et al. IEEE Trans Mag 1990;26:187.
[359] Choy KL, Bai W. British Patent 95 2550551995.
[360] Choy KL, editor. Innovative and cost-eective materials processing method. London: Imperial
College Press; 2002.
[361] Choy KL. Electrostatic assisted aerosol based deposition methods. International Symposium on
Metastable, Mechanically Alloyed and Nanocrystalline Materials, ISMANAM-99, 1999, and the
Euro Conference on Gas Phase Synthesis of Nanocrystalline Materials, Dresden, Germany; 1999.
[362] Choy KL, Bai W, Charojrochkul S, Steele BCH. J Power Source 1997;71:361.
[363] Choy KL, Feist JP, Heyes AL, Su B. J Mater Res 1999;14:3111.
[364] Choy KL, Bai W. Preparation of oriented poly(vinylidene uoride)thin lms by a cost-eective
ESAVD method. Thin Film Solid 2000;6:372.
[365] Choy KL, Su B. J Mater Sci Lett 1999;18:943.
[366] Su B, Choy KL. J Mater Chem 1999;9:1629.
[367] Su B, Choy KL. Thin Solid Films 2000;359:160.
168 K.L. Choy / Progress in Materials Science 48 (2003) 57170
[368] Su B, Choy KL. J Mater Sci Lett 1998;18:1705.
[369] Wei M, Choy KL. Inst Phys Conf Ser 2001;169:225.
[370] Wei M, Choy KL. Advanced MaterialsCVD Section 2002;8:15.
[371] Eguchi K, Inoue T, Ueda M, Kaminae J, Arai H. In: Gross F, Zegers P, Singhal SC, Yamamoto O.
editors. Proc. 2nd Int. Symp. SOFCs, Athens (Greece). Luxembourg: Commission of the European
Communities; 1991. p. 697.
[372] Choy KL, Su B. British patent 9900955.7, 1999.
[373] Rulison AJ, Flagan RC. J Am Ceram Soc 1994;77:3244.
[374] Park DG, Burlitch JM, Chem. Mater. 1992;4: 500.
[375] Danek M, Jensen KF, Murray CB, Bawendi MG. Appl Phys Lett 1994;65:2795.
[376] Dobson PJ, Salata OV, Hull PJ. Br. patent 9,323. 5987 1993.
[377] Salata OV, Dobson PJ, Hull PJ, Hutchision JL. Thin Solid Films 1994;251:1.
[378] Chen C, Kelder EM, Van der Put PJJM, Schoonman J. J Mater Chem 1996;6:765.
[379] Van Zomeran AA, Kelder EM, Marijnissen JCM, Schoonman J. J Aerosol Sci 1994251229.
[380] Unvala BA. Br. patent 2,192. 901 1988.
[381] Hayness, BS, Hander, H, Wagner HGG. In: 17th International Symposium on Combustion, Pitts-
burgh (PA). Combustion Institute; 1979. p. 1365.
[382] Akhtar MK, Pratsinis SE, Mastrangelo SVR. J Am Ceram Soc 1992;75:3408.
[383] Ulrich GD. Chem. Eng News 1984;62:22.
[384] Pratsinis SE, Kodas TT. In: Willeke K, Baron P, editors. Aerosol measurement. New York: Van
Nostrand-Reinhold; 1992 [chapter 33].
[385] Sanders HJ. Chem. Eng News 1984;62:26.
[386] Hung CH, Katz JL. J Mater Res 1992;7:1861.
[387] Miquel PF, Katz JL. J Mater Res 1994;9:746.
[388] Glumac NG, Chen YJ, Sjandanand B, Kear B. Mater Lett 1998;34:148.
[389] Choy KL, Bai W, Charojrochkul S, Steele BCH. J Power Source 1998;71:361.
[390] Choy KL, She HK. Mater Sci Eng A 2000;281:253.
[391] Hunt AT. In: Kumar A, Chung YW, Moore JJ, Smugeresky JE, editors. Surface engineering:
science and technology I. The Minerals, Metals & Materials Society; 1999.
[392] Hwang TJ, Shao H, Richards N, Schmitt J, Hunt A, Lin WY. Mat Res Soc Symp Proc 2000;
575:239.
[393] Hunt AT. Private communication 2000.
[394] Hunt AT. CCVD: low-cost vapour deposition of thin lms in an open atmosphere. In: Choy KL,
editor. Innovative processing of lms and nanocrystalline powders. London: Imperial College Press;
2002. p. 147.
[395] Hendrick MR, Shanmugham S, Hunt AT. Elevated temperature oxidation protection for carbon
steel by combustion chemical vapour deposition. In: Hampikian J, Dahotre NB, editors. Elevated
temperature coatings: science and technology III. The Minerals, Metals & Materials Society; 1999.
[396] Isenberg AO. In: McIntyre JDE, Srinivasan S, Will FG, editors. Proceedings of the symposium on
electrode materials and processes for energy conversion and storage. Pennington (NJ): Electro-
chemical Society; 1977. p. 572.
[397] Minh NQ, Takahashi T. Science and technology of ceramic fuel cells. The Netherlands: Elsevier
Science; 1995.
[398] Van, Dieten V. Electrochemical vapour deposition of SOFC interconnection materials. PhD thesis.
The Netherlands: Delft University of Technology; 1994.
[399] Isenberg AO. Solid State Ionics 1984;34:431.
[400] Inaba M, Mineshige A, Nakanishi S, Nishimura I, Tasaka A, Kikuchi K, et al. Thin Solid Films
1998;323:18.
[401] Carolan MF, Michaels JN. Solid State Ionics 1990;37:189.
[402] Lin YS, De, Haart LGD, De, Vries KJ, Burggraaf AJ. J Electrochem Soc 1990;137:3960.
[403] Schoonman J, Dekker JP, Broers JW. Solid State Ionics 1991;46:299.
[404] Han JH, Lin YS. Solid State Ionics 1994;73:256.
[405] Wagner C. Physik Z Chem 1936;32:447.
K.L. Choy / Progress in Materials Science 48 (2003) 57170 169
[406] Sasaki H, Yakawa C, Otoshi S, Suzuki M, Ippommastu M. J Appl Phys 1993;74:4608.
[407] Inaba M, Mineshige A, Maeda T, Nakanishi S, Takahashi T, Tasaka A, et al. Solid State Ionics
1997;93:187.
[408] Draper R. Ind Heat 1991;12:44.
[409] Bessette NF, George RA. Denki Kagaku 1996;64:602.
[410] Tang EZ, Etsell TH. Solid State Ionics 1996;91:213.
[411] Tang EZ, Ivey DG, Etsell TH. Micron 1998;29:251.
[412] Starr TL. Ceram Eng Sci Proc 1988;9:803.
[413] Bickerdike RL, Brown ARG, Hughes G, Ranson H. Proc. 5th Conf. on Carbon. New York: Per-
gamon Press; 1962. p. 575.
[414] Haug T, Knabe H, Ehrmann U. In: Auner N, Weiss J, editors. Organosilicon chemistry. Weinheim
(Germany): VCH; 1994. p. 303.
[415] Lackey WJ. Ceram Eng Sci Proc 1989;10:577.
[416] Stinton DP, Besmann TM, Lowden RA. Am Ceram Soc Bull 1988;67:350.
[417] Besmann TM, Stinton DP, Lowden RA. MRS Bulletin 1988;13:45.
[418] Besmann TM, Lowden RA, Sheldon BW, Stinton DP. In: Seattle GW, Cullen Spear KE, editors.
Proc. 11th Int. Conf. on CVD. Pennington (NJ): Electrochemical Society; 1990. p. 482.
[419] Besmann TM, Sheldon BW, Lowden RA, Stinton DP. Science 1991;253:1104.
[420] Pierson HO, Lieberman ML. Carbon 1975;13:159.
[421] Lowden RA, More KL. In: Pantano CG, Chen EJH, editors. MRS symposium proceedings on
interfaces in composites. Pittsburgh: Materials Research Society; 1989. p. 205.
170 K.L. Choy / Progress in Materials Science 48 (2003) 57170

You might also like