Download as pptx, pdf, or txt
Download as pptx, pdf, or txt
You are on page 1of 45

X-Ray Lithography

Presented by-: K.Rafi, Shamitha.c, Anuvinda.B,Najiya.KPP, Md. Rameez


X-ray Lithography (XRL)
1. Overview
2. X-ray source ( electron impact and synchrotron radiation).
3. X-ray absorption and scattering.
4. X-ray lithography resist (PMMA and SU-8).
5. X-ray lithography mask (absorber on membrane).
6. LIGA process (for high aspect ratio metal structure).
7. Resolution enhancement
8. Advantages and Disadvantages
2
3
X-ray Lithography History
D. L. Spears and H. I. Smith, High resolution
pattern replication using soft x-rays,
Electronic Letters, 8, 102 (1972).

H. I. Smith
(MIT)
4
X-ray Lithography
5
Energy source : X-rays (high penetration power, high aspect
ratio)
X-rays used are provided by synchrotron which allows high
throughput (high flux collimated rays shortens the exposure
time)

Popular photoresist : PMMA

Most masks are transparent to X-rays. Thus, a thin film of gold is
applied to block X-rays.
Mask used: Silver Nitride

High resolution resist structures by x-ray lithography
~50nm lines
R. Waser (ed.), Nanoelectronics
and Information Technology
~150nm lines
6
The Electromagnetic Spectrum
Cu-K
Wilhelm Conrad
Roentgen (1845-1923)
What is X-ray?
Roentgen discovered an unknown ray in
1895 (X-ray) that can cause barium
platinocyanide-coated screen to glow.
He won the first Nobel prize in physics in
1901.
AM
FM
Cell phone, PCS
Microwave
X-ray
8
Types of x-ray sources:
Electron Impact X-ray source
Plasma heated X-ray source
Laser heated
E-beam heated
Synchrotron X-ray source





X-ray Source
9
Photo-electron
Photon
(fluorescent x-ray)
Electron
(Auger electron,
emitted from M-
shell)
Auger-electron
X-ray
atom
X-ray Absorption: Photoelectric absorption dominates at <10keV
The picture below is similar to electron bombardment x-ray source where core electron is
kicked off by an incident electron. Here it is kicked off by x-ray.
In the relaxation process, the lower energy photon is a fluorescent x-ray, while the lower
energy electron is an Auger electron.
1. A high energy x-ray
photon impinges on
an atom
2. An inner-shell (here K-
shell) electron (photo-
electron) is knocked out of
the atom.
3. The atom relaxes to
its ground state by
emission of a
photon or electron
Photon (x-ray)
(fluorescent x-ray)
(Auger electron)
Electron (low energy)
o
k
is the energy level of the K-shell
10
high power
laser
metal
tape
Same idea as laser produced plasma (LPP) EUV source, but shorter
wavelength (a few nm).
High power-density laser pulses generate a hot and dense plasma,
which emits x-rays when free electrons recombine with ions, or bond
electrons jump to a lower energy level.
More complicated than electron bombardment source, less powerful
than synchrotron radiation.
Laser produced plasma x-ray source
X-ray source and their irradiation power
(impact)
11
Synchrotron radiation x-ray source:
The choice for x-ray lithography
12
Shield Wall
Storage Ring
Synchrotron radiation (SR):
Electromagnetic radiation (light) emitted from electrons moving with relativistic velocities.
First observed in 1947 from a 70MeV electron accelerator at GE.
In earlier times, it was just considered as waste product, limiting accelerator performance.
However, other researchers soon realized that SR was the brightest source of infrared,
ultraviolet, and x-rays, very useful for studying matter on the scale of atoms and molecules.
Irradiation is highly polarized and pulsed (e.g. nanosecond pulse).
Observer sees only a small portion of electron trajectory. The pulse length is thus the
difference in time it takes an electron and a photon to cover this distance on the circle.
Advantages of synchrotrons for X-ray lithography
Extremely high intensity.
Extremely high brilliance - small effective source size
situated a long distance from the experimental station.
Very low divergence out of the plane of storage ring.
Tunable, specific energies can be chosen.
Highly polarized and short pulses.
It offers many characteristics of visible lasers but into
the x-ray regime: partial coherence, high stability.
13
In addition to photoelectric absorption (producing photoelectrons and
characteristic x-rays or Auger electrons), the original x-rays may be scattered
by electrons.
There are two kinds of scattering: coherent (Rayleigh, no energy loss) and
incoherent (Compton).
X-ray Absorption / Attenuation
X-ray
T
A
R
G
E
T
characteristic
(Rayleigh)
(Compton)
( ) t I I o = exp
0
Intensity I
0
t
o: absorption coefficient
1/ o: attenuation length
Intensity I

14
Coherent
Incoherent
(Compton scattering)
+A


Coherent scattering: happens when x-ray
collides with an atom and deviates without a loss
in energy.
An electron in an alternating electromagnetic field
(x-ray) will oscillate at the same frequency and
emitt in all directions.
This is useful for understanding x-ray diffraction
(each atom is a new x-ray point source).
Incoherent scattering: incident x-ray loses some
of its energy to the scattering electron. As total
energy (=hc/) is preserved, the wavelength of the
scattered photon increases by:
(in )
(Here 0.0243=h/mec, h=6.6310-34 is Planks
constant, me=9.110-31kg is electrons mass)

A =0.0243(1coso)
X-ray Scattering
15
X-ray interaction with Resist
Absorption of x-ray does not lead directly to resist modification.
Photoelectrons and Auger electrons are responsible for resist modification.
Therefore, x-ray lithography resist is similar to that for e-beam lithography.
That is, any e-beam lithography resist can also be used as x-ray lithography resist.
In general, high energy x-rays (e.g. =0.3-5) do not interact strongly with materials, so
very low absorption.
o The good news: x-ray resist will be uniformly illuminated (top to bottom) for not-so-
thick resist.
o The bad news: x-ray masks will be hard to make opaque (need many um Au).
o The worse news: powerful x-ray sources are needed (most energy just pass through
without exposing the resist).
o To reduce exposure time substantially, one can use chemically amplified resist (SU-
8) having high sensitivity.
16
Materials for Photoresist
Requirements:
Sensitive to X-ray radiation
Thermal stability upto 140 deg Celsius
Unexposed resist should be absolutely insoluble during
development
Good adhesion to substrate during electroplating
Optimal solution : PMMA
Limitations:
Low lithography sensitivity makes the process slow
Vulnerability to crack due to stress
Other materials suggested: Polyoxymethylene,
Polyalkensulphone, Polymethacrylimide
17
X-ray lithography resist: PMMA (poly(methyl methacrylate))
PMMA is the most commonly used resist (positive tone) for
x-ray lithography with good quality in accuracy and
sidewall roughness, but
extremely insensitive.

PMMA: 500m thick
Scan length: 6cm
Exposure time: 6 hours
Chain scission in poly(methyl methacrylate)
18
Change of mean molecular weight in PMMA
Dissolvable by developer
Initial distribution of
high M
w
PMMA
(500kg/mol)

M
w
distribution at the
substrate (bottom
dose). (5.7kg/mol)

M
w
distribution at the
surface (top dose).
(2.8kg/mol)
Solubility of PMMA
in GG-developer, nearly ideal
at room temperature.
Exposure to x-ray (that generates Auger electrons) cut
the PMMA chains, leading to smaller molecular weight
(M
w
) that dissolves faster in developers.
19
Characteristic doses: definition and their values for PMMA
20
High sensitivity x-ray lithography resist: SU-8
SU-8 is a negative tone chemically amplified (so high
sensitivity but low resolution) resist for optical lithography,
x-ray lithography and e-beam lithography.
Low absorption at >400nm or at deep x-ray, making high
aspect ratio deep resist structure possible by optical or x-ray
lithography.
On the other hand, low absorption is disadvantages for thin
resist process due to low energy deposition in the resist layer
(most energy just pass through).
One big problem with SU-8 is that, once cross-linked by
exposure, SU-8 is extremely difficult to remove by
chemicals
21
Summary: what wavelengths for what application
The selection of x-ray wavelength depends on resolution and resist height (aspect ratio).
Longer wavelength (1-5nm): shorter penetration depth, so thinner resist, thinner Au absorber, thinner
membrane (fragile). Long means more serious diffraction that limits resolution.
Shorter wavelength (0.3-5): thicker resist/absorber/membrane. Low absorption means low resist
sensitivity/longer exposure time.
Therefore:
o For high resolution applications, longer wavelength will be better, since it allows thinner absorber
(easier to pattern with high resolution) and thinner resist.
o For high aspect ratio application (resist thickness > 100um), shorter wavelength must be used. The
resolution is then limited by diffraction (since resist is very thick or gap is big), lateral diffusion of
photo-electron (less important), or non-vertical sidewall of the thick (>10um) Au absorber (difficult
to make deep vertical sidewall by e-beam/photo-lithography and electroplating).
Absorption in PMMA
Membrane mask
(Au)
(Si
3
N
4
)
M
a
s
k

R
e
s
i
s
t

22
Resists for Deep X-ray Lithography
23
PMMA (polymethylmetacrylate)
the most used.
High resolution.
Low sensitivity (2.5 kJ/cm3 minimum dose).
Long exposure times.
Up to 100m thick 1-3 keV energy.
From 100m to 500m thick 3 - 7 keV energy.
SU-8 (epoxy based)
a promising material
Good resolution.
High sensitivity.
Short exposure times.
Difficult processing.

X-ray Lithography Mask
24
Making the mask is indisputably the most difficult task in using x-
ray lithography.
The mask consists of an X-ray absorber, typically of gold or
compounds of tantalum or tungsten, on a membrane that is
transparent to X-rays, typically of silicon carbide or diamond.
The X-ray masks consist of a thin membrane of low-Z material
carrying a high-Z absorber pattern.
The pattern on the mask is written by direct-write electron beam
lithography onto a resist that is developed by conventional
semiconductor processes

X-ray Lithography Mask
25
Xray masks must meet following processing, optical and mechanical
requirements:
(1) Transparency to X-ray , filtration of soft X-rays and good contrast.
(2) Durability and long-term stability to meet stringent alignment, ability to
withstand high tensile stress.
(3) A smooth, defect-free substrate

Mask materials. An X-ray mask blank is a single- or multilayer thin film
membrane (of high tensile strength) held in tension comfortably below its yield
limit by a supporting ring.

Mask patterning. EBL is typically used to write submicron patterns on resist
applied over either the absorber material.
X-ray Mask- How to make them
27
X-ray lithography Mask aligner and Stepper
29
Xray mask aligner has 2 key components:
workstage system
alignement system
Workstage system keeps the gap between mask &silicon
wafer
Alignment system move the stage to perform Step and
repeat exposure.
This also termed as X-RAY STEPPER.


X-ray Lithography Mask
30
X-ray Mask - Substrate
31
Beryllium
Best transmission, thick sheets possible, good thermal conductivity but:
toxic, no optical transparency, price

Diamond
Acceptable transmission, good thermal conductivity, optical
transparency but: freestanding in bigger format critical, price

Silicon
Based (Si, SiC, Si3N4) Acceptable transmission, optical transparency,
established MEMS material but: thin membrane, poor cooling
properties

Titanium
Acceptable transmission but: thin membrane, poor cooling properties,
no optical transparency

Rigid Graphite Acceptable transmission, thick sheets possible, good
thermal conductivity, cheap but: poor surface roughness no optical
transparency
Materials for Substrate
32
Substrate should be an electrical conductor to facilitate
electroplating. Insulators may be coated with electrically
conductive materials.

Eg : Austenite steel, Cu plated with gold, Titanium and
Nickel, Si wafers with thin Titanium or Ag/Cr top layer,
Glass plates with thin metal coating



LIGA
German acronym: Lithographie
Galvanoformung, Abformung
1. Produce
lithographic mould
2. Electroplate to fill
mould with metal
3 . Mould
After: M. J. Madou, Fundamentals of
microfabrication 2nd ed. (CRC Press Boca
Raton, 2002)
Why LIGA?
Bulk micromachining and surface micromachining
techniques basically evolved from microelectronics
technology. So, the equipment used for fabrication of
microelectronics could be used for MEMS with a little
modification.

Drawbacks:
Low geometric aspect ratio
Use of silicon based materials
X-ray lithography
Electroplating and Molding
Common metal used
for plating : Ni in NiCl2
solution.
At Cathode, Ni
2+
+ 2e
-
gives Ni. H
2
is formed as a product of 2H
+
+
2e
-
. The formation of H
2
leads to non-uniform coating. Thus, the pH of
the solution, temperature and current density in electrolysis should be
kept in control.



Electroplating and Molding
Major fabrication steps
Electroplating and Molding
Examples of LIGA manufactured
MEMS devices
Comparison to other lithographies
The physics of microfabrication,
I. Brodie and J. J. Murray,
Plenum Press, 1982
Photolithography,
diffraction limits resolution
E-beam lithography
(scattering,
proximity effect)
X-ray lithography,
little diffraction,
high depth of focus
Ion beam lithography,
low penetration depth
(very thin resist)
43
X-ray lithography: resolution limit due to diffraction
Cerrina, J Phys D, 2000
Precise gap must be maintained: too close
will damage membrane mask, too far reduce
resolution.
Although is very small, diffraction still
present.
Resolution limit due to diffraction
R=3/2[(g+t/2)]
1/2
, g: gap; t: resist thickness.
(This is the same resolution equation as for
photolithography)
E.g. 100nm feature size requires =1nm,
g=4.4um (assume t<<g).
44
Problems

-On account of the finite size of the x-ray source and the finite mask-to-
wafer gap, a penumbral effect results which degrades the resolution at
the edge of a feature.
-An additional geometric effect is the lateral magnification error due to
the finite mask-to-wafer gap and the non-vertical incidence of the x-ray
beam. The projected images of the mask are shifted laterally by an
amount d, called runout. This runout error must be compensated for
during the mask making process.
Resolution limit due to secondary effects
Secondary effects:
X-ray fluorescence of mask and
substrate that exposes resist.
Lateral diffusion of photoelectron and
Auger electron.
Thermal induced mask or resist
motion or distortion.
Non-vertical sidewall of the Au
absorber on mask.
It is found that the Auger electron (low
energy) is 10 more efficient to expose
resist than photo-electron (high energy);
and the Auger electron diffuse only <5nm.
Therefore, the photo-electron is not that
important in determining the final
resolution, and high resolution (<20nm)
can be achieved provided such a mask can
be made (VERY difficult).
46
X-ray lithography
Advantages:
No vacuum environment required (no charged particles involved)
Very small wavelength (< 14) - can produce 0.15 m features
High reproducibility (exposure independent of substrate type,
surface reflections)


Disadvantages:
No optics involved limited to 1:1 shadow printing (no image
reduction is possible)
Very expensive and complex mask fabrication (~10 days, cost is
$4k-$12k)
Low sensivity of the resists
High cost of sufficiently bright X-ray sources
(e.g. Synchrotron)

X-ray lithography - Applications
IC industry
Proposed for fabricating Gigabit-level DRAM
Not a mainstream technique for IC fabrication
Nanoelectronics
MEMS applications
High aspect ratio devices

You might also like