Download as ppt, pdf, or txt
Download as ppt, pdf, or txt
You are on page 1of 26

IMPLEMENTATION OF RANGE

COMPRESSION FOR SYNTHETIC


APERTURE RADAR USING VIRTEX-II
XC2V3000

PREPARED BY:
MULCHANDANI BHARTI D
JHALA AANAL D

1
INTRODUCTION TO ISRO
Government of India set up Space Commission and Department of Space (DOS)
in June 1972. Indian Space Research Organization (ISRO) under DOS executes
Space programme through its establishments located in different places in India.
Dr. Vikram Sarabhai was the founding father of the Indian space program, and is
considered a scientific visionary by many, as well as a national hero.

Main objective of ISRO: It includes development of satellites, launch vehicles,


Sounding Rockets, Crossed several major milestones and associated ground
systems.
Centres of ISRO
• Vikram Sarabhai Space Centre (VSSC), Thiruvananthapuram
• ISRO Satellite Centre (ISAC), Bangalore
• Satish Dhawan Space Centre (SHAR), Sriharikota
• Liquid Propulsion Systems Centre (LPSC), Thiruvananthapuram
• Space Applications Centre (SAC), Ahmedabad
• Development and Educational Communication Unit (DECU), Ahmedabad
• ISRO Telemetry, Tracking and Command Network (ISTRAC), Bangalore
• INSAT Master Control Facility (MCF), Hassan
• ISRO Inertial Systems Unit (IISU), Thiruvananthapuram
2
Department of space

Indian Space Research Organization (ISRO)

Space Application Centre (SAC)

SPSA SPTA SEDA SIIPA MSSG MRSA ESSG SRG PPG SITAA

MSTG MSDG MSIG

MSCED MSDPD

3
HISTORY OF RADARS
 In 1950’s, imaging devices with sensitivities beyond the visible range of wavelength of
0.4 to 0.8 microns, into the infrared region of 0.8 to 15 microns, detected energy that
was either sunlight reflected from terrain or from man-made structure, or was radiated
by them as a function of their temperature.

 At visible and infrared wavelength, however, the atmosphere absorbs a significant


fraction of radiation, even in the clear environment. In cloudy or rainy weather, the
performance of visible and infrared detectors is seriously impaired because of the fact
that a great amount of emitted energy is absorbed by the atmosphere.

 To overcome this dependence on weather Radar systems were invented that operates at
a wavelength of one to 30 centimeters, fulfill both of the above requirements provide an
all-weather operation.

 These systems provide their own source of illumination by transmission of


electromagnetic energy.
 At wavelength of greater than one centimeter the atmospheric absorption of
transmitted and reflected energy is minimal.

4
RADAR PRINCIPLE
The acronym RADAR stands for Radio Detection And Ranging. RADAR is
essentially a ranging or distance measuring device. It consists fundamentally
of a transmitter, a receiver, an antenna, and an electronics system to process and
record the data.

Radar transmits a pulse and measures reflected echo (backscatter)

5
The measurement of the time delay between the transmission of a pulse and the
reception of the backscattered "echo" from different targets, their distance from the
radar and thus their location can be determined. As the sensor platform moves forward,
recording and processing of the backscattered signals builds up a two-dimensional
image of the surface.

Flight Track of spacecraft

6
CLASSIFICATION OF RADARS

Radars

Imaging Radars Non-Imaging Radars

Real Aperture Radars Synthetic Aperture Radar

7
SYNTHETIC APERTURE RADAR
Synthetic Aperture Radar (SAR) refers to a technique used to synthesize a very
long antenna by combining signals (echoes) received by the radar as it moves
along its flight track.

Synthetic Aperture Radar Imaging Concept

8
Constructing a Synthetic Aperture

As the radar moves, a pulse is transmitted at each position; the return echoes pass
through the receiver and are recorded in an 'echo store.' Because the radar is
moving relative to the ground, the returned echoes are Doppler-shifted (negatively
as the radar approaches a target; positively as it moves away).

9
Doppler’s Principle of shifted frequencies

Comparing the Doppler-shifted frequencies to a reference frequency allows many


returned signals to be "focused" on a single point, effectively increasing the length of
the antenna that is imaging that particular point. This focusing operation is
commonly known as SAR processing. The SAR processing requires correct matching
of the variation in Doppler frequency for each point in the image.
10
SAR IMAGE GENERATION PROCESS

The SAR image generation process can be broken up into three basic stages, as
shown in figure. The stages are: (i) sensing of the area target using the imaging
radar, (ii) digitalization and compression of the reflected SAR signal for
downlink to a ground station, and (iii) processing of the reconstructed SAR
signal into image data.
11
APPLICATIONS OF SYNTHETIC APERTURE RADARS

Application Phenomenon

Water Resources  Surface water, Flood and Wetland Mapping


 Drainage Basin Mapping
 Lake Ice Mapping
Vegetation  Vegetation Type Determination
Geology  Surface Structural Mapping
 Geomorphology
Oceanology  Directional Wave Spectrum Determination
 Currents Boundary detection
 Ice Extent, Motion, Ridge and Lead Determination
Others  Land Use Mapping
 Ship Detection

12
MERITS AND DEMERITS OF SYNTHETIC APERTURE
RADAR

Merits

 It images a surface with very fine resolution of a few meters to several


kilometers.
 It can provide imagery to a given resolution independently of altitude, limited
only by transmitted power available.
 A number of fundamental parameters such as polarization and look angle can
be varied to optimize the system for a specific application.
 Imaging is independent of solar illumination because the system provides its
own source of illumination.
 It can operate independently of weather conditions if sufficiently long
wavelengths are chosen.
 It operates in a band of electromagnetic spectrum different from the bands
used by visible and infrared imagers.
 Rapid updates.

Demerits

 Complexity of deployment.
 It provides only 2-D operation.
13
RANGE COMPRESSION
 Range resolution ∆R is determined by pulse width of the transmitted pulse as

∆R = cτ/2

where, ∆R is the range resolution, τ is the pulse width, and c is the velocity of propagation of
electromagnetic energy.

 In order to achieve better range resolution, pulse compression technique is used in which sensitivity of
radar depends on the energy transmitted in the radar pulses. This can be expressed in terms of the
average transmitted power- that is, the peak power multiplied by the transmitter duty cycle.

Pavg = Ppeak *τ/T = Ppeak *τ*B

where, Pavg=average transmitted power


Ppeak=peak transmitted power
τ=pulse width
T=pulse duration
τ/T=duty cycle
B=bandwidth

14
ADVANTAGES AND DISADVANTAGES OF PULSE
COMPRESSION

Advantages

 Lower pulse power is required


 Higher maximum range
 Good range resolution
 Better jamming immunity

Disadvantages

 Poor minimum range


 Appearance of side-lobes

15
INTRODUCTION TO CHIRP

A chirp is a signal in which the frequency increases ('up-chirp') or decreases


('down-chirp') with time. It is commonly used in sonar and radar.

Advantages of Chirp Signal

 High RangeResolution
 High SNR Performance
 Minimum Side lobes

Figure shows the Chirp


Characteristics.

16
BLOCK DIAGRAM OF SAR PROCESSOR

Range Azimuth
Compression Compression
F Corner turn F
Sampling Range I I Detection
and A/D Line Buffer F F Memory F F
Conversion T F T F
T T

Azimuth
Range Reference
Reference

Quadrature
Multilock
Detected Echo
Processing
Signal

Formatter

Image

Synthetic aperture radar processing algorithms are divided into steps.


• Range compression
• Azimuth compression.
17
RANGE COMPRESSION DESCRIPTION

I
F
Received echo F Memory
F
F
T
T

Reference
memory

Range compression is carried out using pulse compression technique. As shown in


figure, pulse compression is obtained by cross-correlating the received signal with
the transmitted signal. It is computationally more efficient to implement this
correlation process by Fast convolution, which consists of an FFT (of the received
data), a vector complex multiplication (with the FFT of reference), and an inverse
FFT. The reference signal is the complex conjugate of the transmitted signal. A
linear frequency modulated signal called chirp signal is used as transmitted signal.

18
DESIGN AND DEVELOPEMENT TOOLS
Software Tool: XILINX
It is the world's largest developer and manufacturer of a
class of reconfigurable hardware chips, field -
programmable gate arrays (FPGAs) devices.

Device Family: Virtex-II


Device Type: XC2V3000

Target FPGA: VIRTEX-II

Language used: VHSIC Hardware Description Language

Simulation Tool: MATLAB


The name MATLAB stands for MATrix LABoratory.
Matlab is a software package for high-performance numerical
computation and visualization.

19
FPGA IMPLEMENTATION OF RANGE COMPRESSION

Complex
Multiplication
FFT IFFT

Output
Core
nput

Core
I

Reference
Memory

XC2V3000

Project Design

20
FFT ARCHITECTURES
FFT core provides three architectures to compute FFT/IFFT.

 Pipelined Architecture
 Radix-4 Architecture
 Radix-2 Architecture

Resource Utilization Comparison of FFT architectures


Number of samples = 32768
Input width = 16 bits
Output width = 16 bits
Architecture Latency Resource utilization Total Memory
(in clock (BRAMs+Slic
MULTs Block Slices Look-up Flip- es)
cycles)
RAMs table flops (in Kbits)

Available 96 96 14336 28672 28672 2187

Pipelined, Bit- 32640 Used 21 43 3643 5761 5292 891


reversed output (21 %) (44 %) (44 %) (20 %) (18 %) (40%)
Radix-4, 76128 Used 9 84 1876 2670 2130 1572
Natural order (9 %) (87 %) (13 %) (9 %) (7 %) (71%)
output
Radix-2, 200576 Used 3 66 1032 1870 1330 1221
Natural order (3 %) (68 %) (7 %) (6 %) (4%) (55%)21
output
DESIGN APPROACHES

Approach 1: 32k point FFT using pipelined architecture

The pipelined architecture with bit-reversed output ordering can be used to implement
the range compression technique. The output of the FFT is in bit-reversed order, so the
multiplication is also in bit-reversed form. Since the multiplication result is in bit-reversed
order, additional memory is required to store the result and convert into natural order for
IFFT computation as the core requires the input to be in natural order. This increases the
memory utilization and also the latency of output. Hence this approach cannot be used.

Approach 2: 32k point FFT using two FPGAs

In this approach, two FPGAs can be used, one to perform the forward FFT and other to
perform inverse FFT. This increases the overall memory utilization and is thus not
efficient to implement.

Approach 3: 32k point FFT using overlap save approach

To overcome the limitations of above architectures, the overlap save method is used.

22
L L L

Input sequence, x(n) segmented into blocks of L samples


M–1

zeros
0,…,0 x(0)…x(L - 1)

Block 1 M–1
x1(n)

x2(n) x(L – M + 1)…x(2L - 1)

Block 2 M–1
x(2L – M + 1)…x(3L - 1)

Block 3
Discard Block 1 Output, y1(n)

M–1
Discard Block 2 Output, y2(n)

M–1
Discard Block 3 Output, y3(n)

M–1
Final
output Block 1 Output, y1(n) Block 1 Output, y2(n) Block 1 Output, y3(n)
y(n)

In our case, L = 4096 and M – 1 = 4096. Hence, L + M – 1 = 8192.


23
Resource Utilization Comparison based on design approach
Number of samples = 32768
Input width = 16 bits
Output width = 16 bits
Reference data width = 8 bits

Design Latency Resource utilization Memory (in


Approach (in clock Kbits)
MULTs Block Slices Look-up Flip-
cycles)
RAMs table flops

Approach 1 32640 Used 32 147* 5457 8401 8122 2820*


(33%) (153%) (38%) (29%) (28%) (128%)
Available 96 96 14336 28672 28672 2187

Approach 2 65280 Used 62 (32%) 182 10914 16802 16244 3625


(94%) (38%) (29%) (28%) (82%)
Available 192 192 28672 57344 57344 4374

Approach 3 32600 Used 54 81 12747 23351 15966 1865


(54%) (84%) (88%) (81%) (55%) (85%)
Available 96 96 14336 28672 28672 2187

*
Over-utilization of memory

24
CONCLUSION AND FUTURE SCOPE
Conclusion

The real-time range compressor signal processor module is designed and


implemented using Xilinx development system. Target FPGA selected for
implementation of range compression for Synthetic Aperture Radar processor is
Xilinx XC2V3000 Virtex-II FPGA. The language used to create the design is
VHSIC Hardware Description Language (VHDL). Functionality of top-level
module and all the sub-modules is verified by writing testbenches in VHDL and
carrying out functional simulation using ModelSim. Maximum supporting
frequency for the design is 50.4 MHz. FPGA resource utilization of complete
design is about 85%. The total signal processing time is approximately 18 ms,
which meets necessary timing requirements for system pulse repetition frequency
(PRF) of 2050 Hz.

Future Scope

Xilinx coregenerator can be modified for optimum utilization of available


memory.
Development of FFT architecture optimized in resource utilization can be
considered for future work.
25
THANK YOU
&
ANY
QUERIES ??!! 26

You might also like