ภาษาVHDL

You might also like

Download as pptx, pdf, or txt
Download as pptx, pdf, or txt
You are on page 1of 14

ภาษา

VHDL
จัดทำโดย
นาย สหชา อินทร์ไชย รหัส 65543206037-5
นางสาว พานพลอย รักปัญญา รหัส 65543206073-0
นาย ณธกฤษ พันธุ์เขตรกิจ รหัส 65543206132-4
ประวัติ VHDL ได้มีการย่อมาจากคำว่า VHSIC
Hardware Description Language (VHSIC :
Very High Speed Integrated Circuit) เป็ นภาษา
โปรแกรมระดับสูง (High Level Language) ที่ใช้
สำหรับการออกแบบฮาร์ดแวร์ในระบบดิจิตอล
ตัวของภาษาสามารถบรรยายพฤติกรรมการ

VHDL
ทำงานในรูปของลำดับชั้น (Hierarchy) และ
สามารถเขียนได้หลายรูปแบบ ด้วยเหตุผลนี้จึง
ทำให้ภาษา VHDLเป็ นเครื่องมือที่ใช้ออกแบบ
ตั้งแต่ขั้นตอนบนสุด คือ แนวความคิดที่จะแก้
ปัญหา ลงไปทีละขั้นจนถึงขั้นตอนของการสร้าง
วงจรจริง และตัวภาษาก็เปิดโอกาสให้วิศวกร
ได้พัฒนาและจำลองการทำงานของรูปแบบ
ฟังก์ชันการทำงานของวงจรอย่างสังเขป
โครงสร้างของภาษา VHDL

Entity Architecture Package CONFIGURATIO


N
จากที่กล่าวมาแล้วว่า Entity design
เป็ นส่วนที่ใช้สำหรับติดต่อกับ จะเป็ นส่วนที่มีไว้สำหรับเขียนบรรยาย ใช้สำหรับเก็บข้อมูลต่างๆที่ได้เป็ น
unit หนึ่งๆ อาจจะมี Architecture
อุปกรณ์ภายนอกเปรียบเทียบได้ หรือกำหนดพฤติกรรมการทำงานของ ประโยชน์ต่อการเขียนรูปแบบ
ได้หลายหน่วย ดังนั้นการจำลอง
กับขาของอุปกรณ์หรือขาของไอ วงจรดิจิตอลที่ต้องการออกแบบใช้ บรรยายระบบดิจิตอล ตัวอย่างเช่น
การทำงานจะต้องมีการระบุว่าจะใช้
ซี งาน โดยที่พฤติกรรมของวงจรที่เขียน โปรแกรมย่อย (Subprogram) Type
architecture อันไหนให้ Simulator
อุปกรณ์ภายในวงจรหรือโมดูลที่ ขึ้นจะต้องสัมพันธ์กับทิศทางรูปแบบ Constants Signal Aliases
ทราบ โดยใช้ CONFIGURATION
ออกแบบเมื่อต้องการติดต่อกับ ของสัญญาณ(signal mode) ที่กำหนด Attributes รูปแบบจำลอง(Model)
ประกอบ entity กับ architecture
โลกภายนอกจะอาศัย Entity ไว้ในส่วนของ ENTITY มาตรฐานต่างๆ
design unit
เป็ นส่วนในการส่งผ่านข้อมูล
โปรแกรมที่ Al
ter
a Qu

สามารถ
art
us
Xilinx Vivado Pr
im
e

เขียนภาษา
VHDL
GHD
ModelSim
L
โปรแกรมที่สามารถใช้เขียนภาษา VHDL ได้
นั้นมีหลายโปรแกรม บางโปรแกรมได้รับความ
นิยมเป็ นอย่างมาก ในวงการอุตสาหกรรมดิจิทัล
และออกแบบวงจรไอซี ซึ่งได้มีการยกตัวอย่าง
โปรแกรมมาอย่างคร่าวๆ ดังนี้

Quartus II Web Edition


ฝึกเขียนเกทพื้น
AND OR
ฐานNOT NAN NOR XOR XNO
D R
OR gate
เกต OR คือเกตที่ให้สัญญาณขาออกเป็ น 0 เมื่อสัญญาณ
ขาเข้าทุกตัวเป็ น 0 และจะให้สัญญาณขาออกเป็ น 1 เมื่อ
สัญญาณขาเข้าตัวใดตัวหนึ่งเป็ น 1 เป็ นความหมายเดียว
กับตรรกะ "หรือ" มีตัวดำเนินการคือ +

ตารางค่าความจริงของเกต
OR
ตารางค่าความจริงของเกต NOT

Not gate

Not gate คือเกตที่ให้สัญญาณขา


ออกเป็นส่วนเติมเต็มของสัญญาณขา
เข้า หรือเป็นการสลับค่าของสัญญาณ
ขาเข้า เป็นความหมายเดียวกับตรรกะ
"นิเสธ" เกตนี้จะรับสัญญาณขาเข้า
เพียงข้างเดียว มีตัวดำเนินการคือ A
(อ่านว่า not A หรือ A bar)
ตารางค่าความจริงของเกต NAND

nd gate
Na
Nand gate คือเกตที่ให้สัญญาณขาออกเป็น 0 เมื่อ
สัญญาณขาเข้าทุกตัวเป็น 1 และจะให้สัญญาณ
ขาออกเป็น 1 เมื่อสัญญาณขาเข้าตัวใดตัวหนึ่ง
เป็น 0 หรือเป็นส่วนเติมเต็มของเกต AND นั่นเอง
And gate
and gate คือเกตที่ให้สัญญาณขาออกเป็น 1
เมื่อสัญญาณขาเข้าทุกตัวเป็น 1 และจะให้
สัญญาณขาออกเป็น 0 เมื่อสัญญาณขาเข้าตัว
ใดตัวหนึ่งเป็น 0

ตารางค่าความจริงของเกต AND
ตารางค่าความจริงของเกต NOR
NOR gate
NOR gate คือเกตที่ให้สัญญาณ
ขาออกเป็น 1 เมื่อสัญญาณขาเข้า
ทุกตัวเป็น 0 และจะให้สัญญาณขา
ออกเป็น 0 เมื่อสัญญาณขาเข้าตัว
ใดตัวหนึ่งเป็น 1 หรือเป็นส่วนเติม
เต็มของเกต OR นั่นเองตารางค่า
ความจริงของเกต NOR
XOR gate ตารางค่าความจริงของเกต
XOR

XOR gate (บางทีก็เรียก เกต EOR) คือเกตที่


ให้สัญญาณขาออกเป็น 1 เมื่อสัญญาณขาเข้า
ต่างกัน และจะให้สัญญาณขาออกเป็น 0 เมื่อ
สัญญาณขาเข้าเหมือนกัน มีตัวดำเนินการคือ
ซึ่ง A ⊕ B = (A + B) · (A + B) = A · B + A ·
B
XNOR gate คือเกตที่ให้สัญญาณขาออกเป็ น 0 เมื่อ
สัญญาณขาเข้าต่างกัน และจะให้สัญญาณขาออกเป็ น 1
เมื่อสัญญาณขาเข้าเหมือนกัน หรือเป็ นส่วนเติมเต็มของ
เกต XOR นั่นเอง ซึ่ง A ⊕ B = (A + B) · (A + B) = A · B
+A·B

XNOR gate

ตารางค่าความจริงของเกต XNOR

You might also like