Download as pptx, pdf, or txt
Download as pptx, pdf, or txt
You are on page 1of 25

2022 TSMC

UN SDGs Action Report


2022 TSMC 02
Letter from the ESG UN SDGs and TSMC’s Sustainable Initiatives
UN SDGs Action Report Committee Disclosure Trends Commitments and and
Chairperson Progress Actions Collaborations

Letter from the ESG Committee Chairperson

Accelerating the Fulfillment of SDGs


through the Spirit of Enterprise

The 2030 Sustainable Development Goals (SDGs) were proposed in 2015 by the United Nations as a guide to how humanity can coexist with nature
and realize a sustainable and equitable future. In 2022, the COVID-19 pandemic turned the corner and it also marked the midpoint of the 2030
Agenda for Sustainable Development. A review of the SDGs progress showed us that there is still a gulf between global society today and the SDGs.
We need greater innovation and enterprise to ensure fulfillment of the SDGs by 2030.

The post-pandemic era and extreme climate have brought about a sea change in the way people live. People have emerging needs for a variety of
health management, energy conservation, carbon reduction, and epidemic prevention technologies. Through chip innovations, TSMC has partnered
with customers to enable safer and more energy-efficient products in communication, high-speed computing, medicine, education, climate
technology, financial technology, logistics, battery technology and cybersecurity applications to give added impetus to the sustainability
transformation.

In addition to driving sustainable innovation through technology, TSMC also believes that the setting of long-term goals aligned with the SDGs
can help solve the global challenges. The number of long-term goals that TSMC has committed to is 47 in 2022. Of these, 78% has made continual
progress and met the annual target while 22% required improvement. We conduct a robust review of the goals every year while the ESG Steering
Committee and ESG Committee continue to develop new improvement plans. As the future becomes more challenging than before, TSMC
encourages our colleagues to be more enterprising, innovative, and accountable to identify more opportunities and possibility for change and speed
up the fulfillment of the SDGs.

The pursuit of sustainability at TSMC is based on the five ESG directions. We embrace the belief of Leaving No One Behind and explore more
sustainable innovation models to cooperate with value chain partners, bringing about positive change and a better future for all.

Lora Ho
Senior Vice President and
E S G Committee Chairperson
2022 TSMC 03
Letter from the ESG UN SDGs and TSMC’s Sustainable Initiatives
UN SDGs Action Report Committee Disclosure Trends Commitments and and
Chairperson Progress Actions Collaborations

UN SDGs and Disclosure Trends


01 Plans
To address the fact that the Millennium Development Goals (MDGs) did not improve the plight of people and failed to meet progress expectations, the United Nations (UN) proposed Clearly explain the
the 2030 Agenda for Sustainable Development to develop a set of people-centered Sustainable Development Goals (SDGs) to achieve sustainability and equity for people worldwide SDGs supported
in the future.

The 2030 Agenda for Sustainable Development includes 17 SDGs and 169 Targets, urging developed and developing nations to take action in global partnerships to eliminate poverty,
improve health and education, reduce inequality, as well as promote diversity and inclusion. The aim is also to stimulate economic growth, respond to climate change and water
scarcity, and strive to protect the biodiversity of oceans and forests.
02
In the implementation of the UN SDGs, the Global Reporting Initiative (GRI) observes the promotion and progress of global companies in the SDGs from the viewpoint of Commitments
information disclosure and reporting. Since the launch of the GRI Sustainability Reporting Standards in 2016, they have become the world's most widely-used sustainability disclosure
Set measurable targets
standards. As a pivotal organization promoting the disclosure of global non-financial information, GRI constantly emphasizes that the private sector should play a key role in
implementing SDGs. Meanwhile, GRI offers practical guidance for the SDGs reporting process and assists companies to integrate SDGs into their business operations strategies and
practices.

03 Actions
Five Major SDGs Disclosure Evaluation Criteria
Proof of actions taken
To determine how companies practice SDGs, GRI commissioned Support the Goals to survey the trend of SDGs disclosure from 2020 to 2021. Targeting 206 companies globally that
in support of the SDGs
adopt the GRI Standards, the five disclosure categories of Plans, Commitments, Actions, Progress, and Suppliers are applied to evaluate the companies' sustainability reports and online
information. According to the survey, in terms of Plans and Actions, over 60% of the companies have made plans to respond to the SDGs and taken specific actions. Concerning
Commitments and Progress, 40.3% and 20.4% of the companies set measurable targets and disclose their progress publicly, respectively. As for implementing SDGs with their supply
chain partners, only 0.5% of the companies managed to achieve this objective.

Since 2017, TSMC has adopted Integrating the SDGs into Corporate Reporting: A Practical Guide issued by GRI and the United Nations Global Compact to identify SDGs relevant to
TSMC and disclose them in the 2017 Corporate Social Responsibility Report. In 2022, TSMC adopted the disclosures defined by GRI and Support the Goal, and extended the single
04 Progress
stakeholder from supplier to employees, shareholders/investors, customers, contractors, government/industry associations and the society, etc. The Company expanded the Provide open data to
consolidation of resources and their impact to reveal the outcomes of disclosure-related actions from the five disclosure categories of Plans, Commitments, Actions, Progress, and explain the progress of
the measurable
Cooperation to publish the first TSMC UN SDGs Action Report, which will be published annually in the future. Through the continuous review of long-term targets and progress,
targets
TSMC aims to explain its action plans and achievements, as well as the strength of partners that bonds the stakeholders together. The Company's second UN SDGs Action Report is
published in June 2023.

TSMC considers SDG 3 (Good Health and Well-Being), SDG 4 (Quality Education), SDG 6 (Clean Water and Sanitation), SDG 7 (Affordable and Clean Energy), SDG 8 (Decent Work and
Economic Growth), SDG 9 (Industry, Innovation, and Infrastructure), SDG 12 (Responsible Consumption and Production), and SDG 13 (Climate Action) as priorities. The Company also
realizes its important role as a corporate citizen through SDG 17 (Partnerships for the Goals). In addition to clearly stating the detailed goals of each SDG, quantifiable long-term goals
05 Cooperation
for 2030 are also formulated in response to TSMC's commitment to implementing the SDGs.
Encourage stakeholders to
support the SDGs
2022 TSMC 04
Letter from the ESG UN SDGs and TSMC’s Sustainable Initiatives
UN SDGs Action Report Committee Disclosure Trends Commitments and and
Chairperson Progress Actions Collaborations

TSMC's Commitments and Progress


According to the SDG Impact Standards for Enterprises published by the United Nations Development Programme (UNDP) in 2021, enterprises are more likely to collectively address the challenges facing the world if they set long-term goals that are in line with
the UN SDGs. TSMC has set long-term sustainable goals for 2030 and regularly checks the progress and compliance level according to the red, amber, and green ratings. The Company also reviews the suitability of new or deleted goals on the fly to materialize its
contribution to the SDGs.

In 2022, TSMC committed to 52 long-term goals to support the SDGs, including five new goals. From checking employee health and stress, hiring employees with disabilities, reducing waste-derived CO2 , and cultivating semiconductor talent to suppliers'
participation in CDP, the Company constantly thinks about ways to increase opportunities for value chains to contribute to the SDGs. Compared with 2021, 78% of the goals (37) continued to show positive improvements and reached the annual targets, attaining a
green rating; 22% of the goals (10) exhibited negative trends, of which High-Quality Educational Resource Investment, Global Patent Approval Number, and Unit GHG Emissions were categorized as the amber rating. Although the performance was weaker than the
previous year, it still surpassed the annual target. The Ratio of Female Supervisors and the Participation of Local Suppliers in the Continuous Improvement Competition in Taiwan did not meet the annual targets but still exceeded last year' s performance. These
two targets were also categorized as the amber rating. The performances of the five goals of Guide Reading Hours, Unit Water Consumption, R&D Expenditure Ratio, Non-use of Perfluoroalkyl Substances, and Environmental Conservation-Related Volunteer
Services were not better than those of the previous year and did not reach the annual targets, hence they were categorized as the red ratings.

Whether it is positive progress or negative growth, TSMC will continue to plan and implement corresponding Sustainable Actions. Please refer to TSMC 2022 Sustainability Report.

2022 Performance Review of TSMC's Long-term Goals

Number of
Ratio Goals

Green
Rating
Performance is superior (equal) to the previous year and achieved the annual target
78% 37
Amber
Rating
Performance is lower than the previous year but achieved the annual target Performance
is better than the previous year but failed to achieve the annual target
11% 5
Red
Rating
Performance is lower than the previous year and failed to achieve the annual target
11% 5
2022 TSMC 05
Letter from the ESG UN SDGs and TSMC’s Sustainable Initiatives
UN SDGs Action Report Committee Disclosure Trends Commitments and and
Chairperson Progress Actions Collaborations

Carry Out the UN Sustainable


Development Goals
SDG 4
Quality Education
SDGs
SDG 3
Promote Filial Piety Among Youth
Good Health and • Promote filial piety education in 120 educational

9
Well-being
institutions
Improve Medical Care to Seniors Living
Empower Youths and Talents through Education
Alone • Invest NT$53 million or more into quality
• S e r v e seniors living alone 16,000 times
educational
resources
every year via the Network of • Cultivate more than 35,000 undergraduate and
Compassion
graduate students globally through university
Implement Comprehensive Health programs that deepen industry-academia
Management collaboration between 2021 and 2030
• Employees with abnormal blood lipids,
Care for Children in Remote Areas
blood pressure, and blood sugar: • 10,000+ hours of volunteer reading every year
≤ 11%, 13.5%, and 2.5%
Sustainable • Employees with reported high-stress
• Benefit 10,000+ children in rural areas

Actions levels: <9%

23
SDG 6 SDG 7
Affordable and Clean Energy
Clean Water and Sanitation
Manufacture with Greater Energy Efficiency
Reduce Water Risks • Double energy efficiency after five years of volume
• R e d u c e unit water consumption by 30% (L/12-inch production for each process technology
2030 equivalent wafer mask layers) (Base year: 2010) •Cumulative energy-saving rate reached 18% between
Sustainability • Pr o v i d e consultation on water reduction for 2016 and 2030 through new energy-saving measures
suppliers and reduce water consumption by a
Goals cumulative total of 35 million metric tons (Base year:
Work with Suppliers on Energy Conservation
• P r o v i d e consultation on power reduction for suppliers and

52
2020)
reduce energy consumption by a total of 1,500 GWh (Base
Raise Effluent Standards
year: 2018)
• Wa t e r pollution composite indicator reduction rate of
Use Renewable Energy
>60%
•Sta r tin g from 3nm new fabs, renewable energy accounts for
Increase Usage of Reclaimed Water more than 20% of energy consumption and the purchasing
• > 6 0 % replacement of water resources with of renewable energy increases annually to achieve 40%
reclaimed water renewable energy company-wide
2022 TSMC 06
Letter from the ESG UN SDGs and TSMC’s Sustainable Initiatives
UN SDGs Action Report Committee Disclosure Trends Commitments and and
Chairperson Progress Actions Collaborations

SDG 9
Industry, Innovation and Infrastructure
Encourage Innovation SDG 13
SDG 8 •Maintain TSMC's technology leadership and invest 8.5% of Climate Action
Decent Work and Economic Growth revenue into R&D expenses annually
• O v e r 80,000 global patent applications Implement Adaptation Strategies to Climate Risks
Offer Competitive Compensation • Reduce unit GHG emissions by 30% compared to the base year
• O v e r 200,000 trade secret registrations
•Maintain position above 75 th percentile among industry peers in total compensation (metric ton of carbon dioxide equivalent (MTCO2e)/12-inch equivalent
• Pr o v i d e >1,200 wafer manufacturing and process technologies
Strengthen Industry Sustainability and >170 advanced packaging technologies in line with the wafer mask layer) by 30%, and restore GHG emissions to the 2020
• En s u r e 100% of Tier 1 suppliers complete the Sustainability Management TSMC technology roadmap level (Base year: 2020 )
Self-Assessment Questionnaire • Ensure 100% of high energy consumption suppliers receive ISO
• En s u r e 100% critical suppliers receive Code of Conduct audits by RBA-certified 14064 Greenhouse Gas Emissions verification (Base year: 2021)
agencies every three years • 0 day of production interruption due to climate disasters
• A u d i t a cumulative total of 30 suppliers (≥3 suppliers per year) for due diligence on • Reduce CO2 emissions from waste treatment to 2020 emission
responsible mineral sourcing levels
• En s u r e 980 sessions of S.H.A.R.P. audits toward critical high-risk suppliers at a pace of 100 Strengthen Supply Chain Climate Resilience
sessions a year • Ensure a cumulative total of 300 raw materials suppliers participate
• Wo m e n in management: ≥20% in the annual emergency response drill (Base year: 2016)
•Su pplier due diligence on responsible mineral sourcing: 100% of the minerals used are • Suppliers invited to participate in CDP in the year should achieve an
sourced responsibly average score of B and a response rate of 95%
Promote Workplace Safety Organize Environmental/Energy-saving Volunteers
• Disabling Injury Frequency Rate (FR): <0.3 • Offer environmental protection-related volunteer services at least
•Disabling Severity Rate (SR): < 3 SDG 12 1,200 times every year
• I n c i d e n t Rate per 1,000 Employees: <0.20 Responsible Consumption and Production
• A l l waste treatment vendors shall acquire ISO 14001 or other international EHS
Reduce Industrial Waste Output
Management certification • Outsourced unit waste disposal per wafer ≤0.50 (kg/12-inch equivalent wafer
• En s u r e 100% critical high-risk suppliers complete Safety and Health consultation
mask layer)
•Assist all high-risk contractors to obtain ISO 45001 certification for occupational
Carry Out Circular Economy
safety and health management system • Develop multiple types of electronics-grade chemicals for resource recycling
• En s u r e a cumulative total of 1,500 suppliers participate in the EHS training program
within TSMC
(Base year: 2016)
• R e d u c e waste production among local major suppliers by 42% (Base year: 2014)
Support Local Suppliers
• Ensure a cumulative total of 145 local raw materials suppliers receive consultation on
Implement Chemicals Management
• Develop the ability to analyze 100% of carcinogenic, mutagenic, and reprotoxic
SDG 17
process advancement and quality improvement (Base year: 2016)
(CMR) substances and help suppliers supplying materials with potential risks
Partnerships for the Goals
•Encourage 100% of major local raw materials suppliers and 75% of backend
develop the same capabilities
packaging materials suppliers to participate in Taiwan Continuous Improvement
•Rep lace 100% of N-methylpyrrolidone (NMP) (Base year:2016)
Award with 60% of them advancing to the finals
• N o processes involving perfluoroalkyl substances (PFASs) that have more than four
carbons
• 0 case of occupational disorders caused by exposure to chemicals
Improve Environmental Protection
• R e d u c e the unit air pollutant emissions by 65% (Base year: 2015)
•Reduction rate of volatile organic gases: >99%
2022 TSMC 07
Letter from the ESG UN SDGs and TSMC’s Sustainable Initiatives
UN SDGs Action Report Committee Disclosure Trends Commitments and and
Chairperson Progress Actions Collaborations

Sustainable Actions
Ensure healthy lives and promote well-being for all ages to support SDG 3
Plans
3.4 By 2030, reduce by one third premature mortality from non-communicable diseases through prevention and
treatment and promote mental health and well-being

3.d Strengthen the capacity of all countries, in particular developing countries, for early warning, risk reduction and
management of national and global health risks
Good Health
and Well-being

・ Improve medical care to seniors living alone


Actions
・ Implement comprehensive health management

N u m b e r of seniors Abnormal blood lipids, Employees with


served via Network of blood pressure, and blood reported high-
Compassion sugar stress level
unit : unit : % unit : %
people/year
Commitments 2030
16,000People
11%
Abnormal
blood lipids
13.5%
Blood
pressure
2.5%
Blood
sugar
<9%

16,471
15,719

9,000 9,527
10,855
9.2%
Abnormal
10.8%
Blood
1.8%
Blood
8.1%
blood lipids pressure sugar

Progress
2018 2019 2020 2021 2022 2022 2022

・ Collaborate with stakeholders like medical institutes and social welfare agencies to create
a national Network of Compassion to provide care and services to seniors living alone
Collaborations ・ Implement occupational disease prevention to help improve employee health and
well-being
2022 TSMC 08
Letter from the ESG UN SDGs and TSMC’s Sustainable Initiatives
UN SDGs Action Report Committee Disclosure Trends Commitments and and
Chairperson Progress Actions Collaborations

The Ministry of Health and Welfare


the Ten-Year Long-Term Care Project 2.0
launched Enhances
2017, and is committed to creating a local
in

TSMC Charity Founcdoamtimonu'ns


imedical
cwand alrawsdrastic
socisy p id y l ag i ng po p ua
teCleofamre ti onasastcsi
increase t io n sl totocare
ituc long-term
in
o
i n i n
dcoaarrm
e te t h e TSMC Provides
Ntyetin
alone
aorerk
rural areas, o fas well m as pprovide oonmpmcare,
medical la tfmeal c onservices,
delivery solidates
T a i w a n a n demand
f o transportation d t he
r t h e ne e dtosstrengthen
o f seniorstheliving
to Safeguard
and quality and mobility of rural care
To promote health awareness among fab construction contractors and
resources. Due to the rising need for providing long-term care in local
partners, TSMC launched the Four Cancers and Hepatitis B and C General
communities, the Foundation collaborated with Taipei Municipal Gan-Dau

15,260
Inspection initiative in September 2022. Screenings for oral cancer, colorectal
Hospital and National Yang Ming Chiao Tung University in 2022 to build a new
cancer, breast cancer, cervical cancer, and hepatitis B & C were provided to
smart fitness club for seniors in Taiwan. Smart workout devices adapted to
contractor partners for free. In November, the capacity of screening was
train aging muscles were introduced to help prevent disabilities and delay People expanded and further extended to contractors in the operation fabs. As of
aging. The Foundation also provided long-term care institutions with
December, five sessions of health screenings have been conducted, with
pandemic prevention and control supplies and nutritional supplements to Registered for the 2,567 contractors benefited from the very convenient health care services.
safeguard the health of seniors and caregivers. TSMC volunteers also joined Second Virtual Walking Read more
forces with suppliers and local governments to repair 285 damaged residences
after the Taitung Earthquake by employing its facility expertise, thereby
Challenge
offering care to disadvantaged seniors affected by the disaster. Read more

More than 15,000 Employees Participate in TSMC's Virtual


Walking Challenge, Circling the Earth 78 Times
Valuing the health of its employees, TSMC launched the Virtual Walking
Challenge. The competition was designed with a new form of online sports TSMC provides Four Cancers and Hepatitis B&C health screenings at work to
application to encourage employees to achieve a healthier lifestyle. The help contractor partners monitor their health.
Company launched the second session of the Challenge in 2022, with 15,260
The new smart fitness club for seniors helps prevent disability and delay
aging.
employees participated. The total walking distance during the 84-day
competition was 3,119,953 kilometers, equivalent to circling the earth 78
times, with a total calorie consumption of 193,936 kcal. In addition, TSMC
also invited experts in the fields of nutrition and sports to share their
knowledge of diet and exercise through live broadcasts and promoted
healthy meal boxes in the restaurants of the fabs to benefit colleagues and

2,567
optimize results. The Company is committed to reducing the abnormal rate

28
of blood lipids, blood pressure, and blood sugar of employees. The second
Damaged session of the competition added a BMI Achievement Award to encourage People
Residences employees to actively practice their health goals and achieve a healthy
lifestyle and good work-life balance. Read more
Benefited from Four Cancers

5
Repaired by TSMC's Virtual Walking Challenge encourages employees to exercise and achieve a healthier lifestyle. and Hepatitis B and C
TSMC Facility General Inspection
Services
2022 TSMC 09
Letter from the ESG UN SDGs and TSMC’s Sustainable Initiatives
UN SDGs Action Report Committee Disclosure Trends Commitments and and
Chairperson Progress Actions Collaborations

Ensure inclusive and equitable quality education and promote lifelong learning
opportunities for all to support SDG 4
Plans 4.4 By 2030, substantially increase the number of youths and adults who have relevant skills, including
technical and vocational skills, for employment, decent jobs and entrepreneurship

4.7 By 2030, ensure that all learners acquire the knowledge and skills needed to promote sustainable development,
including, among others, through education for sustainable development and sustainable lifestyles, human
rights, gender equality, promotion of a culture of peace and non-violence, global citizenship and appreciation of
cultural diversity and of culture's contribution to sustainable development
Quality Education

・ Empower youths and talents through education


Actions ・ Care for children in remote areas
・ Promote filial piety among youth

Annual investment in N u m b e r of children


quality education beneficiaries in remote
resources areas
unit unit : people
: NT$/
Commitments 2030
million

>53Million > 10 Thousand people


8,055 6,358
6,715 5,287
5,483 5,191
4,825 3,279

1,400

Progress
2018 2019 2020 2021 2022 2019 2020 2021 2022

The TSMC Education and Culture Foundation and TSMC Charity Foundation are working with
Collaborations employees, suppliers, the industry, social welfare groups, schools, and the government to invest
in collaboration and initiatives spotlighting quality education
2022 TSMC 10
Letter from the ESG UN SDGs and TSMC’s Commitments Sustainable Initiatives
UN SDGs Action Report Committee Disclosure Trends and Progress Actions and
Chairperson Collaborations

TSMC Charity Foundation's Road to Employment Program Helps


Students Develop Diverse Competencies

3,22 1st
TSMC Charity Foundation launched the Road to Employment program with its
partner 104 Job Bank to give students a broader outlook on future careers and
encourage them to develop their potential. The program reaches out to
professionals with different types of work experience to share their personal and

5
Participants professional growth stories online. A website called World of Jobs, Road to
Launched the
Employment has been set up to provide career related quizzes and job
In the TSMC Journeys of placement opportunities to help students evaluate for future jobs that mesh Inaugural Peking Opera
Female Scientists with their personality traits. As of 2022, the filming of 104 professionals has Course for College
Lectures been completed and the website has had 44,254 visits. In 2023, the program will Students
reach out to a wider range of companies in catering, manufacturing, and service
industries to offer students diverse employment opportunities that will
broaden their horizons. Read more

Advances the
Students in
TSMC Education and Culture Foundation is committed to promoting the
TSMC Education and Culture Foundation has made continuous efforts to inheritance of Chinese traditional opera, inviting its long-term collaborator
nurture young talent. To increase female students' interest and participation in GuoGuang Opera Company to perform spectacular Peking Opera at the TSMC
STEM, the Foundation works with the National Museum of Natural Science to Hsin-chu Arts Festival. The Company also launched the new type of radio
organize TSMC Journeys of Female Scientists Lectures designed specifically for program Tales from Chinese Opera to guide the public to explore the beauty of
female high school students with three key activities: a guided tour, a science TSMC Charity Foundation films professionals with different types of Chinese traditional opera. To further pass on Chinese traditional opera to the
work experience to share their growth stories. younger generations, the Foundation exclusively sponsored GuoGuang Opera
workshop, and a panel discussion. In additional to guiding students to learn
more about the design, manufacturing, and application of semiconductors, Company to launch the Chinese Opera on Campus program, offering a series
the lectures aim to encourage students to participate in and explore STEM of Peking Opera courses at Ta i w a n ’s National Tsing Hua University and
disciplines, opening the door to new prospects and career opportunities Tunghai University for the first time. In 2022, the students performed the
through an exchange forum where female engineers from TSMC and classic Peking Opera play Chun-Tsao Braving the Court together with the
outstanding female scientists are invited to share their study and work actors of GuoGuang Opera Company to showcase the results of their learning.
experiences. The Foundation has organized 17 sessions till 2022, with 3,225 The program aims to cultivate new talent, polish their skills and techniques,
students from 16 schools participating. Read more and inject new momentum to continue the art of Peking Opera. Read more

44,254
Taipei First Girls High School visits TSMC Museum of Innovation as part of the TSMC
Journeys of Female Scientists Lectures.
User
s Access the World of Jobs,
Road to
EmploymReeandtmWoreebsite

The Chinese Opera on Campus program together with GuoGang


Opera Company. Students perform to the public to showcase the
results of their learning.
2022 TSMC 11
Letter from the ESG UN SDGs and TSMC’s Sustainable Initiatives
UN SDGs Action Report Committee Disclosure Trends Commitments and and
Chairperson Progress Actions Collaborations

Ensure the availability and sustainable management of water and sanitation for
all to support SDG 6
Plans 6.3 By 2030, improve water quality by reducing pollution, eliminating dumping and minimizing release of
hazardous chemicals and materials, halving the proportion of untreated wastewater and substantially
increasing recycling and safe reuse globally
6.4 By 2030, substantially increase water-use efficiency across all sectors and ensure sustainable withdrawals and
supply of freshwater to address water scarcity and substantially reduce the number of people suffering from water
Clean Water scarcity
and Sanitation

・ Reduce water risks


Actions ・ Raise effluent standards
・ Increase usage of reclaimed water

Water pollution
Unit water consumption composite indicator
reduced reduction rate
unit : %

30%
unit : %

Commitments 2030
>60%
24.7 54.3
43.8 42.4 42.5
14.9 36.8
8.9
5.2 2.6
Progress
2018 2019 2020 2021 2022 2018 2019 2020 2021 2022
liter/12-inch equivalent wafer mask layer
Base year: 2010

Participate in global initiatives and collaborate with the government, employees, and
Collaborations suppliers to improve water quality and generate a net positive change in water resources
2022 TSMC 12
Letter from the ESG UN SDGs and TSMC’s Sustainable Initiatives
UN SDGs Action Report Committee Disclosure Trends Commitments and and
Chairperson Progress Actions Collaborations

TSMC S.T.S.P. Reclaimed Water Plant Commences


100% Operation, the World's First Industrial Reclaimed Water
Plant for Advanced Semiconductor Processes
TSMC is committed to improving the efficiency of process energy and resource To further strengthen water usage efficiency, TSMC has been devoted to
use. After working with suppliers to develop a water-saving control system for developing water recycling technologies, collaborating with Taiwan’s CTCI
FOUP , cleaning tools, TSMC continued to develop a hot water recycling Group to build the world's first industrial reclaimed water plant dedicated to
system with innovative technologies to recycle unused hot water for these produce reclaimed water for use in advanced semiconductor processes. In 2022,

Highest
tools. To optimize the e ffi ciency of hot water use, in-depth analysis of the TSMC’s Southern Taiwan Science Park (S.T.S.P.) Reclaimed Water Plant
equipment energy consumption and operating parameters were conducted to commenced operation. It is designated as the center of processing, monitoring,

Score
further design a closed loop system to recycle all hot water when the main and supplying reclaimed water for TSMC's fabs in Southern Taiwan Science
chamber is not performing wafer cleaning operations by adding a pump and Park. In addition to producing industrial reclaimed water from treated
hot/cold water switching valves with automatic control on the premise of wastewater from Southern Taiwan Science Park, the plant also serves as a water
ensuring a stable water temperature. The hot water recycling system quality monitoring station for municipal reclaimed water from Yongkang and
Received AWS Platinum
successfully achieved 100% recycling of hot water when the wafer carrier Anping Water Reclamation Plants. Currently, TSMC is also investing in the R&D of
cleaning tool was not in operation. The system passed verification and was
Certification for Three wastewater thickening and reclamation by waste heat and sludge treatment and
successfully implemented into Fab 12B in 2022, and it has been listed as the Consecutive Years recycling technology to avoid the outflow of concentrated wastewater and
standard design for the purchase of new machines in the future to implement further reduce the impact on the environment. It is estimated that the water
green manufacturing with concrete actions. Read more supply capacity of the S.T.S.P. Reclaimed Water Plant will reach up to 36,000
metric tons per day by 2026, continuing to reduce the demand for city water
consumption and forging an environmentally friendly approach. Read more

Alliance for Water Stewardship Platinum Certification with


the Highest Score Received for Three Consecutive Years
As the world’s first semiconductor company to obtain Platinum level certification
by the Alliance for Water Stewardship (AWS), TSMC has expanded its promotion of
AWS standards. In Taiwan, after its Tainan and Taichung sites obtained AWS
Certification with the highest scores, the Hsinchu site and the
Advanced Backend Fab 3 in Longtan, Taoyuan, also obtained AWS Platinum-
TSMC works with suppliers to develop a hot water recycling system for level certification. Among them, the Hsinchu fab and achieved a certificate
wafer carrier cleaning tools.
with the highest score in 2022. In addition to innovating water-saving models TSMC collaborates with CTCI Group to build world's first industrial
and ensuring the water rights and interests of reclaimed water plant to produce reclaimed water for use in advanced
stakeholders, TSMC also continues to strengthen the effectiveness of water semiconductor processes.
pollution prevention and treatment. The Advanced Backend Fab 3
independently launched a project to reduce the copper concentration of

100
discharged water. The average concentration of copper ions was reduced from
0.34ppm to less than 0.1ppm, a reduction of more than 70%. This is also lower

1st
than the 1ppm emission standard managed by the Hsinchu Science Park
Administration to which the fab is affiliated. This has helped improve the water
environment of Laojie River and contribute to the sustainable development of
Recycling
% of Hot water resources. Read more
from
Water
the FOUP Cleaning Industrial Reclaimed
Tools Water Plant for Advanced
Semiconductor Processes

TSMC Hsinchu fabs receive AWS Platinum Certification with the highest
score.
2022 TSMC 13
Letter from the ESG UN SDGs and TSMC’s Sustainable Initiatives
UN SDGs Action Report Committee Disclosure Trends Commitments and and
Chairperson Progress Actions Collaborations

Ensure access to affordable, reliable, sustainable and modern energy for all in response
to SDG 7
Plans 7.3 By 2030, double the global rate of improvement in energy efficiency

7.a By 2030, enhance international cooperation to facilitate access to clean energy research and technology,
including renewable energy, energy efficiency and advanced and cleaner fossil-fuel technology, and promote
investment in energy infrastructure and clean energy technology
Affordable and
Clean Energy

・ Manufacture with greater energy efficiency


Actions ・ Work with suppliers on energy conservation
・ Use renewable energy

From 2016 to
2030
Cumulative energy-saving rate Ratio of renewable energy
from energy-saving measures in production and operation
unit : GWh
sites

18% 40%
unit : %

Commitments 2030

31
24 10.4
9.2
17 7.0 7.6
12 energy-
6.8
9 saving rate
13%
Progress
2018 2019 2020 2021 2022 2018 2019 2020 2021 2022

Collaborate with suppliers and customers to increase process energy e ffi ciency while also
Collaborations providing energy-e fficient, high-performance technologies to help customers achieve product
success

Photo Credit: wpd Taiwan Energy Co., Ltd.


2022 TSMC 14
Letter from the ESG UN SDGs and TSMC’s Sustainable Initiatives
UN SDGs Action Report Committee Disclosure Trends Commitments and and
Chairperson Progress Actions Collaborations

Retrofitted Clean Room Make-up Air Handling Units Save


157 Million
Two
To improve energy efficiency, TSMC worked with its vendors to develop wet
film plates for the air scrubbing/humidification systems of the make-up air
TSMC is committed to assisting customers in producing high-performance and handling units (MAUs) in clean rooms. These plates form a large area water film
energy-e fficient products using semiconductor technology and launched the by attaching fine water mist to the wet film panels to increase the retention

1,00
world's leading Ultra Low Power (ULP) technology. The Company has worked time of external air, increase the area of airflow wetting. This enables the water
closely with customers to define technical specifications based on system film to absorb more micro-pollutants contained in the outside air, which not
usage and developed key low-power elements for existing technology Metric
only strengthens the washing effect, but also reduces the horsepower of the
platforms. TSMC has successfully introduced 55nm, 40nm, 22nm, and 12nm Tons pump. As a result, electricity consumption can be reduced by 80% to achieve

0
ULP technologies that provide customers with low-power consumption and energy-saving benefits. As of 2022, a total of 290 MAUs have been refitted in
high-efficiency solutions while accelerating product innovation and Annual Carbon
existing fabs, and 429 new MAUs have been designed and introduced as the
time-to-market. As of 2022, TSMC's ULP technology has helped to save more Generated
Reduction by the AI- standard for new fabs. This has saved a total of 157 million kWh of electricity,
than two billion watts of energy in the world, significantly contributing to power Water Chiller thus continuing the implementation of green manufacturing with concrete
energy efficiency. Read more
System actions. Read more

Three Innovations of AI-powered Water Chiller Systems


Improve Energy Efficiency
TSMC is committed to actively implementing energy-efficiency innovations,
continuing to optimize the energy-saving model of chiller water systems
TSMC's Ultra Low Power technology enables innovations in smart cities. TSMC retrofits the wet film plates of the scrubbing/humidification
through machine learning. Three functions have been successfully developed: the systems of MAUs, reducing the energy consumption of the washing
detection of abnormal energy consumption in single chiller compressors, pump by 80%.
the precise forecasting of multi-chiller compressor operating load, and the
optimization of chiller water system pressure control. TSMC introduced the
three functions to Fab 15A in 2022, saving two million kWh of electricity and
reducing 1,000 metric tons of carbon. In 2023, all TSMC 12-inch wafer fabs in

>2
Taiwan will begin to adopt the three functions, which are also listed as

157
standard designs for new plants. These initiatives are expected to save 100
Billion
million kWh of electricity per year and reduce carbon by 50,000 metric tons.
Read more
Watts GW
Cumulative Energy Savings Cumulative
h Energy
of Ultra-low Power Savings from MAU
Technology Modification

TSMC continues to develop energy-saving models for AI-powered water chiller


systems.
2022 TSMC 15
Letter from the ESG UN SDGs and TSMC’s Sustainable Initiatives
UN SDGs Action Report Committee Disclosure Trends Commitments and and
Chairperson Progress Actions Collaborations

Promote inclusive and sustainable economic growth and decent work for all
to support SDG 8
Plans
8.5 By 2030, achieve full and productive employment and decent work for all women and men, including for
young people and persons with disabilities, and equal pay for work of equal value

8.8 Protect labor rights and promote safe and secure working environments for all workers, including migrant
Decent Work and workers, in particular women migrants, and those in precarious employment
Economic Growth
・ Offer competitive compensation
・ Strengthen industry sustainability
Actions ・ Promote workplace safety
・ Support local suppliers

Overall compensation Critical high-risk suppliers Critical high-risk suppliers' safety


and health consultation
level audited completion rate
unit : % unit :

980 100%
sessions unit : %

Commitments 2030

Top 25%
100 100 100 100 100 100
86

35
25 25 25 25 25

Progress
2018 2019 2020 2021 2022 2020 2021 2022 2018 2019 2020 2021 2022

Collaborate with suppliers and contractors to guarantee the human rights of all workers and
Collaborations create a safe and healthy workplace
2022 TSMC 16
Letter from the ESG UN SDGs and TSMC’s Sustainable Initiatives
UN SDGs Action Report Committee Disclosure Trends Commitments and and
Chairperson Progress Actions Collaborations

Over 200,000 People Completed Contractor

2
Online Safety Training

3
TSMC embraces a people-oriented safety culture. With the expansion of the
Company's manufacturing capacity, the number of contractors with high-risk
operations has increased from 32,880 in 2021 to more than 40,000 in 2022. To
Suppliers

1
ensure that the contractors understand the operation risks and deepen their safety
Completed awareness, TSMC implements the safety management of construction
sites in three aspects: risk identification, management counseling, and Diversity and
the execution inspection. To further promote the digital transformation of Inclusion Initiatives
Completion occupational safety and health, TSMC has also launcThhede satsaetirsietiscoafl cni otuerrsveasl asi t from
Inspection October 2021 the TSMC Supplier Sustainability Academy. Contractors with high-risk
operations must complete all training courses before entering fab sites. As of December
2022,
safety more than 200,000
and health courses. peopleRead
have completed 21 occupational
more

Control

To strengtheCnotnhseosliadfaettyinpgroTtSeMctCio'snfaocf tsourpypbliueirlsd' TSMC considers its workforce as its most important asset. Through three major
Supplier FireePxproetreiecnticoen, Sinutsetraninaatiboinlitayl Minasnuaragnemc initiatives,“diversifying communication channels, providing abundant
fnagctories, TSMC introduced
iestablished
cSoumpppliaenriNese'wloPslsacnot nLotrsosl Creoqnutriorel learning resources, and promoting an employee-oriented career development
e ent Mechanism
three-stage pcoromc and further
emsos
m Ma n a g e m e nt System, a and regular audit. TSMC has further approach,”TSMC is fostering an environment of diversity and inclusion. The
naiimsseudeastfolouwnedriinngthoepesruaptipolniearl
e n ts , a
participation, completion n d inspection,
Company is committed to enabling over 70,000 employees worldwide to play
ariusdksittshrough
compiled Supplier New design
Plant Loss Control Safety Standards that are stricter
TSMC's contractors complete personal protective equipment for
to their strengths in positions that suit them so that they can grow with the
than laws and regulations. By participating in the design process of suppliers'
welding operations. Company. This allows TSMC to fulfill its commitment to its promise of Diversity
new plants and providing consultation, the loss control safety standards are
and Inclusion at TSMC. To help employees achieve a good work-life balance,
directly integrated into the planning. This not only improves the workplace
TSMC launched the TSMC Child Care Benefit Program in 2022. The program
safety of the suppliers' employees, but also eliminates the cost of subsequent
extended maternity leave from 8 weeks to 12 weeks and paternity leave from 5
modifications. Upon new plants' completion, TSMC's audit team conducts
days to 10 days. It also increased the maternity subsidy from NT$1,000 to
on-site inspections to ensure everything complies with the standards and
NT$10,000 per child and planned holiday STEAM camps to support the healthy
regularly audits the maintenance of the equipment relevant to fire protection
growth of TSMC babies. In addition, TSMC officially established the
systems to comprehensively reinforce the safety of suppliers' plants. As of
Women@tsmc Employee Resource Group (ERG). This employee-led voluntary
2022, a total of 33 suppliers have passed the design review, of which 21 have

>200,000
association hopes to connect and develop female colleagues so that they can
passed the corresponding completion inspection. Read more
realize their full potential and become better versions of themselves to grow
with the Company. Read more
Contractors
Completed the
Safety
OcRceaTraining
udpmaotrieonal
Courses

TSMC establishes the Supplier New Plant Loss Control Management


System to improve the safety of suppliers' plants.
TSMC fosters an environment of diversity and inclusion to support
employees' growth and careers.
2022 TSMC 17
Letter from the ESG UN SDGs and TSMC’s Sustainable Initiatives
UN SDGs Action Report Committee Disclosure Trends Commitments and and
Chairperson Progress Actions Collaborations

Build resilient infrastructure, promote inclusive and sustainable industrialization,


and foster innovation to support SDG 9

Plans 9.4 By 2030, upgrade infrastructure and retrofit industries to make them sustainable, with increased resource-use efficiency
and greater adoption of clean and environmentally sound technologies and industrial processes, with all countries taking
action in accordance with their respective capabilities

9.b Support domestic technology development, research, and innovation in developing countries, including by ensuring a
Industry, Innovation, conducive policy environment for, inter alia, industrial diversification and value addition to commodities

and Infrastructure

Actions ・ Encourage innovation

R & D expenses to Cumulative Cumulative registered trade


revenue percentage global patent
applications secrets
unit : %

Commitments 2030
8.5% 80,000 200,000
8.5 56,693
8 8.2 7.9 50,506 >40,000
7.2 45,162
39,118
34,958 >20,000

>8,800 >10,000 >12,000

Progress
2018 2019 2020 2021 2022 2018 2019 2020 2021 2022 2018 2019 2020 2021 2022

Form alliances with the industry, universities, and students to increase TSMC's capacity for
Collaborations innovation and skillsets to realize product value
2022 TSMC 18
Letter from the ESG UN SDGs and TSMC’s Sustainable Initiatives
UN SDGs Action Report Committee Chairperson Disclosure Commitments and and
Trends Progress Actions Collaborations

Comprises front-end (FE) 3D technology for chip


TSMC Lsataucnkicnhgeussi3nDg

1
sFyasbtermicoAnlilniatengcraetetdo cAhcipcselerate 3D IC
t ardevea-ndcimedenpsaicoknaagl
For h
T oTechno(SloogICy),Iannndobvaackt-ieonnd (BE) technology for

AAA
Electron ic
isntgacuksiinngg oinf tmegurlatitpelde fan-out
e n a b l e h ig h e r c h i p d e n s i ty a n d c
d ce hs iip g sn (/Ican huF itOp o)l mea tans td i oc nh ,ip si loi cn o wn a fe r

2
Enterprises Received TSMC's
oonmspuubtsintrgatpee(rCfoorWmoaSn)ce, TSMC officially intelectaunanl
Assistance to Establish Trade early access to TSMC's 3DFabric technologies but are also able to further
TM
The First and Only
Certification
Secret Registration System opuronpcintegrate
feeodrrtiseven
ytt,hsdfields
e3eDfor FigaIC
ims3D blercimapplications
pndesign cenAetlnleito
tara /ntvicaoesystem
accelerate ln,utoehfebseixttt Enterprise Award Recipient
innovations and strengthen the 3D IC ecosystem. 3DFabric Alliance provides
herOppoewneInr novation Platform® (OIP) chain,
customers with overall solutions for chip integration, accelerates chip and
from TIPS
system level innovation, and continues to empower the incessant growth of
m e m onrcye,,spautebtrhsfoterra1mt4ea ,nOocuIePtasEorceuoarsc(yPesdPteAm),
A ll ia th

modern society. Read more


Ffoorrmumfaicnto20r 2a2n.dThe alliance is composed of

semicon1d9uicntdoursatfrsuysnepcmatribotnlnyearalsintwideistthetso3tDa(OcIChSiAeexTv

p)e,esrytisstee. mCoinnttienguriantgiotnhe successful operation testing of the OIP in


Association advanced technologies, 3DFabric Alliance partners not only gain
Competitiveness
To promote the development of the supply chain with more thorough trade
secret management capabilities, TSMC launched the Supply Chain Trade TSMC has been protecting R&D innovation and operational development by
Secret Management Sharing public service project for strategic partners in the way of utilizing patents and trade secrets as dual tracks under the established
supply chain. In 2022, TSMC further established the Trade Secret Registration comprehensive IP management system, which earned TSMC the highest tier
System Alumni Association Templates of trade secret registration (TSR) forms AAA certification from the Taiwan Intellectual Property Management System
and reference selection methods for rewarding registered high-quality trade
Dr. L.C. Lu, Vice President of Design and Technology Platform at TSMC, (TIPS) in 2022 on its first application attempt in 2021. TSMC is the first and only
officially announces the new 3DFabric Alliance.
secrets are all selflessly shared, so that suppliers can get started quickly. company to receive this recognition. As of 2022, TSMC has accumulated more
Members of the Alumni Association discuss and share experiences of the than 8,500 patent applications and over 5,500 patents worldwide, ranking third
problems they have faced in driving the implementation process and among global US patent applicants for three consecutive years and first
corresponding solutions, to optimize the e ffi ciency of implementation and among patent applicants in Taiwan for seven consecutive years. In terms of
stimulate new ideas for registration management. In 2022, TSMC successfully patent quality, the approval rate of TSMC's applications in multiple countries
promoted TSR systems to 12 companies, including Solar Applied Materials even approached 100% in the US, highest among the top 10 US patentees, in
Technology Co. and New Applied Materials Co., Ltd., and all companies went 2022. TSMC continues to strengthen technology leadership and business
on to implement TSR systems of their own. In 2023, five companies aim to competitiveness. Read more

6
establish and implement TSR systems, to put sustainable influence in practice
across industries. Read more Billie Chen (right), Associate General Counsel, representing TSMC to receive the TIPS AAA
highest certificate.

OIP Alliances
Completed 3D IC
EcosyRsetaedmmore

Dr. Fortune F.Y. Shieh, Associate General Counsel of TSMC, shares his
experience with the trade secret registration system and intelligent
management to the public.
2022 TSMC 19
Letter from the ESG UN SDGs and TSMC’s Sustainable Initiatives
UN SDGs Action Report Committee Disclosure Trends Commitments and and
Chairperson Progress Actions Collaborations

Ensure sustainable consumption and production patterns to support SDG 12


Plans 12.5 By 2030, substantially reduce waste generation through prevention, reduction, recycling and reuse

12.7 Promote public procurement practices that are sustainable, in accordance with national policies and priorities
Responsible Consumption
and Production
・ Reduce industrial waste output
・ Carry out circular economy
Actions ・ Implement chemical management
・ Improve environmental protection

Outsourced unit Waste production a m o n g


waste disposal per local major suppliers
wafer reduced

42%
unit : kg/12-inch equivalent wafer unit : %

≦ 0.50
m a s k layer
Commitments 2030

34
1.01 0.99 0.99
31
29.4
26.2 28.5

0.40
0.35

Progress
2018 2019 2020 2021 2022 2018 2019 2020 2021 2022

Share TSMC's experience with waste disposal firms, suppliers, and the government to foster a
Collaborations circular economy-based production model
2022 TSMC 20
Letter from the ESG UN SDGs and TSMC’s Sustainable Initiatives
UN SDGs Action Report Committee Disclosure Trends Commitments and and
Chairperson Progress Actions Collaborations

Carbon by
Supplier
To reduce the use of raw material packaging, TSMC launched the Promotion of Benefits
Low Environmental Impact Fab Packaging, which is one of the award-winning TSMC is committed to building safe and low-carbon green fabs. To reduce the
proposals of the TSMC ESG AWARD. Without affecting the raw material quality ergonomic risks that may arise during the transporting process, increase
and warehouse operations, TSMC checks the packaging types of different raw benefits of environmental protection, and accelerate the development of

1.
material suppliers by using the 3R principle (Reduce, Reuse, and Recycle) for automated packaging, TSMC launched the Supplier Material Packaging
four types of packaging materials for bags, polish pad packing boxes, memory Specification Management Plan based on on-site inspections, packaging
packaging bags, silicon wafer transport box sealing film, and wafer box design observations, domestic and international packaging regulations. As
packaging bags. TSMC conducts material and specification evaluation with Billion part of this plan, TSMC developed the first Supplier Material Packaging White
(NT$)

3
suppliers of the respective materials and improves packaging methods. As of Paper Regulations that provides guidance from health and safety and
2022, a total of about 25,000 metric tons of carbon and 50 metric tons of waste
Annual Output of environmental protection to packaging and labeling. As of 2022, this white
have been reduced, which is equivalent to the carbon dioxide adsorption Localized Photoresist paper was issued to promote environmental-friendly packaging processes to
capacity of 65 Taiwan's Taipei Daan Forest Parks in one year, creating the Supply Chain more than 1,200 raw material suppliers domestically and abroad, and more
green value of resources. Read more than 122,500 people have benefited from it while creating a safer workplace for
sustainable supply chain management. Read more

Localization of the Photoresist Supply Chain Reaches Over


NT$1.3 Billion in Annual Output
TSMC's demand for materials are increasing rapidly due to its manufacturing
capacity expansion. To ensure the stable supply of photoresist, a key material in
TSMC and suppliers improve polish pad packing boxes which can be manufacturing processes, TSMC created an innovative business model by
reused at least three times. renting out exposure equipment to photoresist suppliers to reduce their TSMC releases the Supplier Material Packaging White Paper Regulations,
investment costs and encourage them to build factories in Taiwan. In 2021, the helping raw material suppliers improve packaging processes.
first extreme ultraviolet (EUV) photoresist plant in Taiwan was established, which
not merely reduced the production cycle from 28 days to 17 days but also
decreased the carbon emissions of air freight by 18%. As of 2022, the plant has
produced more than 8,000 bottles of EUV photoresist, creating over NT$150
million in business value and NT$1.3 billion in output a year. This has allowed
TSMC to revitalize the usage of corporate assets and march toward

>122,500
industrial symbiosis. Read more

25,00 Metric
Tons
Beneficiaries

0
Carbon Reduction from
of Supplier Material
Refining Raw Material
Packaging White Paper
Packaging
Regulations

TSMC lends exposure equipment to photoresist suppliers for them to


reduce investment cost.
2022 TSMC 21
Letter from the ESG UN SDGs and TSMC’s Sustainable Initiatives
UN SDGs Action Report Committee Disclosure Trends Commitments and and
Chairperson Progress Actions Collaborations

Develop comprehensive mitigation and adaptation measures to combat climate


change and its impact to support SDG 13
Plans 13.1 Strengthen resilience and adaptive capacity to climate-related hazards and natural disasters in all
countries
13.3 Improve education, awareness-raising and human and institutional capacity on climate change
mitigation, adaptation, impact reduction and early warning
Climate Action

・ Implement adaptation strategies to climate risks


Actions ・ Strengthen supply chain climate resilience
・ Organize environmental/energy-saving volunteers

Ratio of high energy C O 2 emissions from


Unit G H G emissions
consumption suppliers waste disposal
reduced reduced
unit : % receiving ISO 14064

30% 100%
certification

53,178
unit : %

Commitments 2030 Metric


tons

27

9
65
23 50 Metric
tons
17 17

2
6

Progress
2018 2019 2020 2021 2022 2021 2022 2022

Galvanize employees, communities, and suppliers to raise climate awareness and


Collaborations knowledge to carry out climate actions
2022 TSMC 22
Letter from the ESG UN SDGs and TSMC’s Sustainable Initiatives
UN SDGs Action Report Committee Disclosure Trends Commitments and and
Chairperson Progress Actions Collaborations

Expansion of Habitat Operations and Rehabilitation


of Over 1,000 Fireflies
TSMC is committed to creating a balance between technology and ecology. It is
the first semiconductor company in Taiwan to have fireflies. To stabilize the
reproduction and growth of fireflies, TSMC has transformed its long-term

80 >60,000
habitat maintenance experience into a systematic management process.
Metric Through the three main steps of establishing habitats, cultivating fireflies and
larval prey, and continuously improving and monitoring the environment, a
Tons
suitable habitat for firefly reproduction has been created. Following the

0
CO2 Captured successful restoration of fireflies at the Tainan site in 2018, TSMC continued to PET Bottles Recycled to
expand the habitat creation program to its Hsinchu site and Taichung site. A total Produce Eco-friendly
of more than 1,900 imagoes appeared at the three main factories in 2022.
In addition, TSMC conducts various promotional activities such as theme
Garments
exhibitions, ecological lectures, and night visits for employees to have a better
understanding of the firefly recovery plan and raises biodiversity awareness
and strengthen the mission of environmental protection. Read more

The third ESG AWARD Raises Employee Awareness


of Sustainability
To achieve sustainable business, every company should strive to address TSMC established an annual ESG AWARD to encourage employees to
climate change and mitigate climate impact. TSMC is committed to reaching contribute inspiring ideas to support TSMC's five ESG directions and recognize
Net Zero Emissions by 2050 and has published its Task Force on internal divisions' ESG progress. The Company kicked off its third ESG AWARD
Climate-Related Financial Disclosures (TCFD) Report. In addition to in 2022 and rolled out two warm-up programs to increase employee
implementing climate risk control and mitigation actions, TSMC considers the participation. One program was TSMC ESG Master challenge, and the other
carbon emissions generated from its supply chain as an important factor; the From Plastic to Fabric ‒ Your Eco-friendly T-shirt Made from Recycled Plastic
Company thus launched the Carbon Capture Project of LCO2 Suppliers to TSMC establishes firefly habitat management process to improve the
environment for fireflies to reproduce and grow stably.
Bottles. The two warm-up programs have greatly strengthened employees'
protect the environment and increase the usage efficiency of CO . TSMC 2 awareness about ESG values and actions through taking daily quiz challenges
encourages suppliers to capture the separated low purity CO2 by modifying the and practicing recycling in daily life. To increase employees' awareness about
vent pipelines of distillation towers, and then recycle it back to the tower for environmental issues, TSMC set up dedicated recycling bins in the cafeterias at
re-purification. The optimized purification efficiency is over 90% with higher each fab to encourage employees to recycle plastic bottles. Employees were
efficiency refrigerators, which effectively improves the efficiency of energy also encouraged to take an online survey for a chance to win limited-edition
utilization. As of 2022, 800 metric tons of CO2 have been successfully captured, eco-friendly T-shirts made from recycled plastic bottles. Nearly 6,000
improving the resilience of the green supply chain. Read more
employees have participated in this 6-week campaign and collected over

>1,90
60,000 recycled plastic bottles. Read more

0
Fireflies EmeRregaed
dm oinre Three Major
Fab Areas

TSMC suppliers' distillation towers are retrofitted to capture residual


CO2 gas for purification. TSMC launches From Plastic to Fabric ‒ Your Eco-Friendly T-shirt Made
from Recycled Plastic Bottles program to encourage employees to
practice environmental protection.
2022 TSMC 23
Letter from the ESG UN SDGs and TSMC’s Sustainable Initiatives
UN SDGs Action Report Committee Disclosure Trends Commitments and and
Chairperson Progress Actions Collaborations

Initiatives and Collaborations

Partnerships for
the Goals
In response to the spirit of SDG 17 Partnerships for the Goals, TSMC vigorously engages in internal and external participation, collaboration,
and dialogue to work with employees, shareholders/investors, customers, suppliers/contractors, government/industry associations,
society, and other stakeholders. Development opportunities are constantly sought out based on the economic, environmental, and social
dimensions in line with the SDGs, thereby bolstering the consolidation of resources as well as the influence of the entire value chain in
making substantial, positive changes. TSMC has chosen 24 sustainable action plans for 2022 by referring to the Impact Principle of Business
for Societal Impact (B4SI) to assess the influence of each plan by impact type (Behavioral or Attitude Change, Quality of Life or Well-being,
Skills or Work Performance). Sustainable thinking is realized through concrete actions to materialize a better future with the Company's
stakeholders and partners.

Types

1 Behavioral or
Attitude Change
Help stakeholders to practice behaviors that improve their lives or
work, in turn changing their attitudes to make better decisions

2 Quality of Life
or Well-being
Help stakeholders live healthier, happier, or more comfortable lives,
improving their mood, social relationships, and health

3 Skills or Work
Performance
Help stakeholders to develop new or improve existing skills, secure
development opportunities, or improve operational efficiency
2022 TSMC 24
Letter from the ESG UN SDGs and TSMC’s Sustainable Initiatives
UN SDGs Action Report Committee Disclosure Trends Commitments and and
Chairperson Progress Actions Collaborations

Quantitative Indicators

. Virtual Walking Challenge Encouraged . Network of Compassion

Quantitative Indicators 15,260 employees to exercise and stay active 15 medical and nursing institutions to
. Free Health Screening at the Construction Sites protect senior citizens' health

. TSMC ESG AWARD . Firefly Restoration . Supplier Carbon


Helped 2,567 people receive free health screenings

Over 15,900 people Restored over 1,900 fireflies Capture Program


supported the Captured 800 metric tons
warm-up activity of CO2

Quantitative Indicators

. Guide to Careers Program . Chinese Opera on


Videos of 104 professionals to Campus Program
Quantitative Indicators broaden students' future horizons Two campus courses to
Currency Value
. TSMC Journeys of Female cultivate new Chinese
Scientists Lecturers opera talent
. Supplier Material Packaging White . Photoresist Supply
Helped 3,325 people to increase
Paper Regulations Chain Localization their scientific knowledge
Benefited more than 122,500 people Generated local supply
. Raw Material Packaging Reduction chain output value of
Reduced carbon emissions by 25,000 NT$4.9 billion
metric tons
Quantitative Indicators Qualitative Description

. Hot Water . Sustainable Water Management


TSMC Recycling System Passed with highest score for three
Quantitative Indicators Qualitative Description 100% hot water consecutive years to bolster
recycling for reuse environmental sustainability
Stakeholders . TSMC Water Reclamation Plant in
. Trade Secret Registration . 3DFabric Alliance Accelerated Southern Taiwan Science Park
System Alumni Association innovation and created a
Launched for the first time to realize
Helped 12 firms establish comprehensive 3D IC ecosystem
water circular action
and implement the system . Taiwan Intellectual Property
Management System Created
a global intellectual property
strategy map Quantitative Indicators

. Ultra Low Power Technology . Transformation of MAUs


Promoted product innovation Saved 157 GWh of electricity
to save more than two billion . AI-powered Water Chiller System
Quantitative Indicators Qualitative Description watts of energy Saved two GWh of electricity and
reduced carbon emissions by 1,000
. Digital Occupational . Diversity and . Loss Control Safety metric tons annually
Safety and Health Inclusion System for New Fabs
Training Program Helped employees Bolstered supply chain
Over 200,000 people reinforce their employee and plant
completed training strengths at work safety from the source
Behavioral or Quality of Life Skills or Work
Attitude Change or Well-being Performance
Copyright© TSMC - Taiwan Semiconductor Manufacturing Company Limited 2022-2023. All Rights Reserved.

You might also like